HaPra Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

Größe: px
Ab Seite anzeigen:

Download "HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen"

Transkript

1 HaPra Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers mit SYNOPSYS Design ompiler Abbildung auf FPGA - im Praktikum: XILINX XV300 Virtex FPGA ( Gatter) auf Xess XSV300 Prototyping-Board HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 2 1

2 Logikbausteine 74xx00: 4 NAND-Gatter mit je 2 Eingängen 74xx86: 4 XOR-Gatter 74xx08: 4 AND-Gatter 74xx32: 4 OR-Gatter 74xx02: 4 NOR-Gatter mit je 2 Eingängen 74xx04: 6 Inverter HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 3 Eingabeplatine Spannungsversorgung: 5V Zeigt logische Pegel an (LEDs) Erzeugt logische Pegel (DIP-Schalter) GND GND V (+5V) Schalter LEDS V (+5V) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 4 2

3 Hinweis Falls Sie die Eingabeplatine nicht benutzen wollen: - Unbeschaltete Eingänge sind nicht logisch 0 sondern undefiniert! Unbedingt alle Eingänge mit definierten Werten belegen: logisch 1: + Pol der Spannungsquelle (+5V) logisch 0: - Pol der Spannungsquelle (0 V) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 5 Entwurfsablauf Papier (Skript, Vorbesprechung) gschem / VHDL Spezifikation Gatternetzliste entwerfen Modelsim Synopsys Design ompiler Xilinx - edif2bit Validierung / Verifikation Synthese Platzieren & Verdrahten Technologie-unabhängig FPGA-spezifisch Xess - xsload FPGA HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 6 3

4 Entwurfswerkzeuge (1) geda - gschem ( GNU Schematic apture") graphische Eingabe von Netzlisten, automatische Umsetzung in VHDL mit gnetlist Mentor - vsim (Modelsim) Analyse des VHDL-odes, Erzeugung eines ausführbaren Simulator-Binaries Simulator-Frontend / Debugger Synopsys - design_compiler Synthese (VHDL -> Struktur), Optimierung, - design_vision / design_analyzer Gatternetzlisten Viewer / Debugger HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 7 Entwurfswerkzeuge (2) Xilinx - Plazieren & Verdrahten diverse Kommandozeilentools (im HaPra: edif2bit) - xsload Programmierung des FPGA Achtung: design_vision Workaround - starten über: ssh -X ralab?? design_vision HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 8 4

5 GSHEM (1) Starten über Shell: gschem & HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 9 GSHEM (2) Einfügen von Komponenten: Add -> omponent (i) 1 Gatter platzieren HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 10 5

6 GSHEM (3) Einfügen von Komponenten: Add -> omponent (i) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 11 GSHEM (4) Benennen von Komponenten: Edit -> Edit (ee) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 12 6

7 GSHEM (5) Benennen von Komponenten: Edit -> Edit (ee) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 13 GSHEM (6) Einfügen von Verbindungsleitungen: Add -> Net (n) 2 Verbindungen ziehen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 14 7

8 GSHEM (7) Einfügen von Verbindungsleitungen: Add -> Net (n) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 15 GSHEM (8) Einfügen von I/O-Pads: Add -> omponent (i) 3 Ein-/Ausgänge festlegen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 16 8

9 GSHEM (9) Einfügen von I/O-Pads: Add -> omponent (i) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 17 GSHEM (10) Einfügen von I/O-Pads: Add -> omponent (i) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 18 9

10 GSHEM (11) Einfügen von I/O-Pads: Add -> omponent (i) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 19 GSHEM (12) Einfügen von I/O-Pads: Add -> omponent (i) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 20 10

11 GSHEM (13) Benennen von I/O-Pads: Edit -> Edit (ee) 4 Ein-/Ausgänge benennen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 21 GSHEM (14) Benennen von I/O-Pads: Edit -> Edit (ee) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 22 11

12 GSHEM (15) Benennen von I/O-Pads: Edit -> Edit (ee) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 23 GSHEM (16) Benennen einer VHDL-Entity: Add -> Attribute (aa) 5 Entity benennen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 24 12

13 GSHEM (17) Benennen einer VHDL-Entity: Add -> Attribute (aa) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 25 GSHEM (18) Benennen einer VHDL-Entity: Add -> Attribute (aa) HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 26 13

14 Versuchsreihe 4: Aufbau eines Volladdierers VHDL-ode-Generierung: - Datei abspeichern - VHDL-ode erzeugen gnetlist -g vhdl -o havhd hasch - VHDL-ode überprüfen! Hierarchische Designs: - Symbolgenerierung für eigene Module mit gnetlist gnetlist -g symcreate -o ~/sym/hasym hasch - zb Halbaddierer zum Entwurf eines Volladdierers verwenden HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 27 VHDL Simulator (1) mkdir va ; cd va VHDL Sourcen in diesem Verzeichnis ablegen vsim & HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 28 14

15 VHDL Simulator (2) WORK-Library anlegen: - File -> hange Directory - File -> New -> Library HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 29 VHDL Simulator (3) Sourcen compilieren - ompile -> ompile HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 30 15

16 VHDL Simulator (4) Simulation starten - WORK-Library aufklappen - Doppelklick auf Architecture HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 31 VHDL Simulator (5) Waveform View einblenden - View -> Debug Windows -> Wave HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 32 16

17 VHDL Simulator (6) Signale auswählen/simulation laufen lassen Simulation laufen lassen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 33 VHDL Simulator (7) Signalwerte setzen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 34 17

18 VHDL Simulator (8) Simulation HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 35 Synthese / Analyse des Designs Aufruf: design_vision & - alternativ auch: design_analyzer & HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 36 18

19 oder per Script define_design_lib WORK -path WORK analyze -f VHDL -library WORK halbaddierervhdl elaborate halbaddierer current_design halbaddierer uniquify compile set_port_is_pad A set_port_is_pad B set_port_is_pad set_port_is_pad S insert_pads write -f db -hier -output halbaddiererdb write -format edif -hierarchy -output halbaddiereredif quit HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 37 Entwurfsschritte beim hipentwurf Synthese: Abbilden auf Bibliothekszellen Platzieren Verdrahten HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 38 19

20 Entwurfsschritte beim FPGA- Entwurf Technologie-abhängige Schritte - - Synthese: Abbilden auf programmierbare LB-Funktionen - Platzieren: Zuordnung Teilfunktion - physikalischer LB - Verdrahten: Programmierung der Verbindungen HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 39 FPGA-Struktur I/O-Blöcke LB S LB LB S LB Verbindungsleitungen LB LB LB LB Programmierbare Logikblöcke LB S LB LB S LB Verbindungsmatrizen LB LB LB LB HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 40 20

21 Verbindungsmatrizen mit SRAM-Programmierung SRAM SRAM SRAM SRAM SRAM SRAM SRAM SRAM SRAM HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 41 Versuchsreihe 4: Aufbau eines Volladdierers Logikblöcke als speicherprogrammierbare Funktionen - LUT: LookUp Table (Wertetabelle) 1 n Adreßdecode r 1 2 n Speichermatrix (LUT) Daten Vorteil: beliebige Funktionen mit n Eingängen können programmiert werden Nachteil: Größe wächst exponentiell mit n HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 42 21

22 Logikblock beim Virtex-FPGA 4 LUTs mit 4 Eingängen / 1 Ausgang arry-logik dazwischen Programmierbar: - beliebige 4-stellige Funktionen - mehrstellige Funktionen mit Einschränkungen 4 D-Flip-Flops bzw Latches HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 43 Logikblock beim Virtex-FPGA HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 44 22

23 Xilinx Tools (1) Beispiel: vaedif - 1) Zuordnung der Ports des Volladdierers zu den Pins des hipgehäuses - Datei vaucf eingeben NET A NET B NET _IN NET S NET _OUT LO=P234; LO=P238; LO=P236; LO=P157; LO=P160; HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 45 Xilinx Tools (2) Beispiel: vaedif - 2) Programmierdaten va_rbit erzeugen: - edif2bit va - 3) Analyse des Zeitverhaltens (optional): - trce va_r - 4) Programmierung des FPGA: - xsload va_rbit HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 46 23

24 FPGA Board Keine Kabel abziehen!!! HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 47 Hinweise zu Versuch 4 Keine Kabel vom FPGA-Board abziehen!!! FPGA-Boards pfleglich behandeln!!! Boards nur mit Strom versorgen, wenn notwendig!!! HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 48 24

25 HaPra Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 5: ALU Entwurf Versuchsreihe 5: ALU Entwurf Entwurf eines RIS Prozessors (32 Bit Daten und 32 Bit Adressen) - Versuchsreihe 5: ALU Entwurf - Versuchsreihe 6: Testbench der ALU - Versuchsreihe 7: Registerfile + Programmzähler - Versuchsreihe 8-9: Steuerwerk - Versuchsreihe 10: Interrupts - Versuchsreihe 11: Synthese der PU - Versuchsreihe 12: Testprogramm HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 50 25

26 Versuchsreihe 5: ALU Entwurf Befehlssatz der PU HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 51 Versuchsreihe 5: ALU Entwurf HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 52 26

27 Versuchsreihe 5: ALU Entwurf Spezifikation der 32 Bit ALU - Tabelle - S(2:0) RT-Operation - Subtraktion - an späterer Implementierung orientieren - (RO-Vorlesung: Addierer/Subtrahierer) - Vorschlag: ARRY_OUTQ <- A + not(b) + ARRY_IN - VHDL - bei jeder Operation alle Signale definieren! HaPra Versuchsreihe 4 - Aufbau eines Volladdierers 53 27

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den Versuchsreihen.5 bis.11 soll ein einfacher RISC Prozessor entworfen

Mehr

Institut für Informatik. Aufgaben zu Übung Grundlagen der Technischen Informatik 1. 4. Aufgabenkomplex Technologie logischer Schaltungen

Institut für Informatik. Aufgaben zu Übung Grundlagen der Technischen Informatik 1. 4. Aufgabenkomplex Technologie logischer Schaltungen UNIVRSITÄT LIPZIG Institut für Informatik Studentenmitteilung. Semester - WS 22 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-34-97 3223 Zimmer: HG 2-37 e-mail:

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf 15. März 2005 Stefan Reichör HWE- 2005- Slides1.tex (23. März 2005) Allgemeines Aktuelle Informationen auf der Homepage: http://riic.xsteve.at/hwe2005/index.html Klausurtermin: 6.

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

LTSpice Tutorial 2: Eigene Modelle und Symbole

LTSpice Tutorial 2: Eigene Modelle und Symbole LTSpice Tutorial 2: Eigene Modelle und Symbole Vorhandenes Symbol, eigenes Modell, Modell in Einzeldatei Der Baustein «LM336» ist eine 2.5 V Zener-Spannungsreferenzdiode mit einem Justiereingang: Die Zenerdiode

Mehr

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen.

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen. Programmierung des Farbsteuergeräts 340-00112 für Nikobus Diese Bedienungsanleitung gilt auch für die Nikobus-Produkte 340-00111 und 340-00113. Achtung: einige der aufgeführten Betriebsarten sind nur auf

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Installationsanleitung. ISP - Programmierset. Made in Germany

Installationsanleitung. ISP - Programmierset. Made in Germany Installationsanleitung ISP - Programmierset Made in Germany Serien Nr.: Inventar Nr.: ist eine Marke der Otto Nußbaum GmbH & Co. KG DEUTSCH Inhalt Seite 1 Lieferumfang 3 2 Installation: Radiosensor Flashtool

Mehr

Import und Export von Übergängern

Import und Export von Übergängern Import und Export von Übergängern SibankPLUS bietet Ihnen eine komfortable Schnittstelle, um den Wechsel der Schüler nach der Stufe 4 von der Grundschule auf eine weiterführende Schule zu verarbeiten.

Mehr

Erstellen der Barcode-Etiketten:

Erstellen der Barcode-Etiketten: Erstellen der Barcode-Etiketten: 1.) Zuerst muss die Schriftart Code-39-Logitogo installiert werden! Das ist eine einmalige Sache und muss nicht zu jeder Börse gemacht werden! Dazu speichert man zunächst

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

Andy s Hybrides Netzwerk

Andy s Hybrides Netzwerk Andy s Hybrides Netzwerk 1) Wireless LAN mit Airport... 1 2) Verbindung Mac-PC... 3 3) Verbindung PC-Mac... 6 4) Rendez-Vous mit dem PC... 8 1) Wireless LAN mit Airport Wer Wireless LAN benutzt, der sollte

Mehr

Modem: Intern o. extern

Modem: Intern o. extern 1. Einleitung Diese Anleitung erklärt die erforderlichen Schritte zur Fernwartung von Drive & Servo PLC. Dazu sind zwei Computer notwendig. Der Computer, der an der Anlage steht, ist über einen Systembusadapter

Mehr

Stammdatenanlage über den Einrichtungsassistenten

Stammdatenanlage über den Einrichtungsassistenten Stammdatenanlage über den Einrichtungsassistenten Schritt für Schritt zur fertig eingerichteten Hotelverwaltung mit dem Einrichtungsassistenten Bitte bereiten Sie sich, bevor Sie starten, mit der Checkliste

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Betriebssystem Windows - SSH Secure Shell Client

Betriebssystem Windows - SSH Secure Shell Client Betriebssystem Windows - SSH Secure Shell Client Betriebssystem Windows - SSH Secure Shell Client... 1 Download... 2 Installation... 2 Funktionen... 3 Verbindung aufbauen... 3 Verbindung trennen... 4 Profile...

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

EJB Beispiel. JEE Vorlesung 10. Ralf Gitzel ralf_gitzel@hotmail.de

EJB Beispiel. JEE Vorlesung 10. Ralf Gitzel ralf_gitzel@hotmail.de EJB Beispiel JEE Vorlesung 10 Ralf Gitzel ralf_gitzel@hotmail.de 1 Stundenkonzept Gemeinsame Übung Stoff der letzten Stunde wird gemeinsam in einem Beispiel umgesetzt Details werden nochmals erklärt bzw.

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

VMWARE HORIZON VIEW DOKUMENTATION V3.5.2 INHALTSVERZEICHNIS

VMWARE HORIZON VIEW DOKUMENTATION V3.5.2 INHALTSVERZEICHNIS VMWARE HORIZON VIEW DOKUMENTATION V3.5.2 WICHTIG: Falls Sie ein neuer Benutzer sind, müssen Sie bei der Erstverwendung Ihr Benutzerkennwort ändern. Melden Sie sich mit Ihrem Starter-Kennwort an und folgen

Mehr

Anleitung OpenCms. Einfügen eines Template-Umschalters für den Wechsel zwischen mobiler und Dektop-Ansicht

Anleitung OpenCms. Einfügen eines Template-Umschalters für den Wechsel zwischen mobiler und Dektop-Ansicht Anleitung OpenCms Einfügen eines Template-Umschalters für den Wechsel zwischen mobiler und Dektop-Ansicht INHALT Inhalt...2 Möglichkeiten der Einrichtung...3 Kopf- bzw. Fußzeile...3 Der Template-Umschalter

Mehr

Telefonverzeichnis der Uni Salzburg Anleitung zur Einrichtung und Verwendung in verschiedenen Mail-Programmen.

Telefonverzeichnis der Uni Salzburg Anleitung zur Einrichtung und Verwendung in verschiedenen Mail-Programmen. Telefonverzeichnis der Uni Salzburg Seite 1 Telefonverzeichnis der Uni Salzburg Anleitung zur Einrichtung und Verwendung in verschiedenen Mail-Programmen. Version 2.16 18. April 2001 Autor: Marc Posch,

Mehr

Medea3 Print-Client (m3_print)

Medea3 Print-Client (m3_print) Medea3 Print-Client (m3_print) Installationsanleitung Installationsanleitung m3_print.exe...2 1. Installieren von Ghostskript und Ghostview...2 1. Ghostskript...2 2. Ghostview...3 2. Kopieren des Print-Client-Programms...6

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Treppensoftware Programme. Hilfe - 3D Plus Online. konstruieren/präsentieren

Treppensoftware Programme. Hilfe - 3D Plus Online. konstruieren/präsentieren Treppensoftware Programme Hilfe - 3D Plus Online konstruieren/präsentieren INHALT 1. Allgemeines... 3 2. Arbeitsbereich im Internet aufrufen... 4 3. Firmendaten im Internet hinterlegen... 5 4. Verwaltung

Mehr

Abhängig von Monitorgrösse und gewählter Auflösung können die Menueleisten etwas anders aussehen als in den Bildern dargestellt.

Abhängig von Monitorgrösse und gewählter Auflösung können die Menueleisten etwas anders aussehen als in den Bildern dargestellt. Einrichten eines Assembler-Projektes IAR-Embedded Workbench 3.* (IAR-EW) Stand 19.01.2005 Diese Anleitung darf unbeschränkt für den privaten und gewerblichen Bereich genutzt werden. Eine Weitergabe an

Mehr

Installationsanleitung Scanner des MEDI Baden-Württemberg e.v.

Installationsanleitung Scanner des MEDI Baden-Württemberg e.v. Installationsanleitung Scanner des MEDI Baden-Württemberg e.v. MEDI 1000 Ihr Ansprechpartner für Rückfragen hierzu: MEDI Baden-Württemberg e.v. Frau Winser Industriestr. 2 70565 Stuttgart winser@medi-verbund.de

Mehr

ecaros2 - Accountmanager

ecaros2 - Accountmanager ecaros2 - Accountmanager procar informatik AG 1 Stand: FS 09/2012 Inhaltsverzeichnis 1 Aufruf des ecaros2-accountmanager...3 2 Bedienung Accountmanager...4 procar informatik AG 2 Stand: FS 09/2012 1 Aufruf

Mehr

Einrichtung von Mozilla Thunderbird

Einrichtung von Mozilla Thunderbird Einrichtung von Mozilla Thunderbird Im Folgenden finden Sie eine Anleitung zur Konfiguration des E-Mail-Clients Mozilla Thunderbird zur Verwendung Ihres E-Mail-Account. Schritt 1 Wenn Sie Thunderbird nach

Mehr

Der/die KursleiterIn kann sowohl die Einträge als auch die geposteten Kommentare in allen drei Blog- Typen bearbeiten, kommentieren und löschen.

Der/die KursleiterIn kann sowohl die Einträge als auch die geposteten Kommentare in allen drei Blog- Typen bearbeiten, kommentieren und löschen. Blogs Blogs sind ein öffentliches Kommunikationstool, das den KursteilnehmerInnen die Aufzeichnung und den Austausch von Gedanken etc. ermöglicht. Ein Blog besteht grundsätzlich aus den Blog-Einträgen

Mehr

Sie können diesen Service verwenden, um fast beliebig große Dateien auch über 2 GB zu versenden.

Sie können diesen Service verwenden, um fast beliebig große Dateien auch über 2 GB zu versenden. 1 Informationen zum F*EX Service von BelWü Sie können diesen Service verwenden, um fast beliebig große Dateien auch über 2 GB zu versenden. 2 Registrierung für den Service Auf fex.belwue.de finden Sie

Mehr

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Inhalt 1. Einleitung... 1 2. Erstellen eines Inverters... 1 3. Erstellen eines Blockes / Modules... 14 4. Bau eines komplexen

Mehr

Der SD-Kartenslot befindet sich an der rechten Gehäuseseite unterhalb der rechteckigen hellgrauen Gummiabdeckung.

Der SD-Kartenslot befindet sich an der rechten Gehäuseseite unterhalb der rechteckigen hellgrauen Gummiabdeckung. 1.1 Steckplatz für eine SD-Karte zur Datensicherung 1.2 Verwenden Sie eine SD-Karte zur Datensicherung Ihrer aktuellen Programmierung und die Berichte. Sollte die Kasse einmal einen technischen Defekt

Mehr

Version 0.3. Installation von MinGW und Eclipse CDT

Version 0.3. Installation von MinGW und Eclipse CDT Version 0.3 Installation von MinGW und Eclipse CDT 1. Stellen Sie fest, ob Sie Windows in der 32 Bit Version oder in der 64 Bit Version installiert haben. 2. Prüfen Sie, welche Java Runtime vorhanden ist.

Mehr

Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden?

Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden? Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden? Anforderung Durch die Bearbeitung einer XML-Datei können Sie Ihre eigenen Dokumentationen (z.b. PDF-Dateien, Microsoft Word Dokumente

Mehr

Objektorientiertes Programmieren mit Suse Linux

Objektorientiertes Programmieren mit Suse Linux Objektorientiertes Programmieren mit Suse Linux Zum Programmieren werden 2 Komponenten benötigt: 1. einen Editor zur Eingabe des Quellcodes 2. einen Compiler, der den Quellcode in die Maschinensprache

Mehr

Installationshinweise Linux Edubuntu 7.10 bei Verwendung des PC-Wächter

Installationshinweise Linux Edubuntu 7.10 bei Verwendung des PC-Wächter Dr. Kaiser Systemhaus GmbH Köpenicker Straße 325 12555 Berlin Telefon: (0 30) 65 76 22 36 Telefax: (0 30) 65 76 22 38 E-Mail: info@dr-kaiser.de Internet: www.dr-kaiser.de Zielstellung: Installationshinweise

Mehr

Hex Datei mit Atmel Studio 6 erstellen

Hex Datei mit Atmel Studio 6 erstellen Hex Datei mit Atmel Studio 6 erstellen Es werden generell keine Atmel Studio Dateien ins Repository geladen, da jeder seine Dateien an anderen Orten liegen hat und weil nicht jeder das Atmel Studio 6 benutzt.

Mehr

Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen?

Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen? Anleitung für Evident Seite 1 Anleitung für Evident-Anwender: Einbinden der MIZ-Dokumente in Evident. Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen? Zunächst müssen Sie entscheiden,

Mehr

Stand: 28.11.2012. Adressnummern ändern Modulbeschreibung

Stand: 28.11.2012. Adressnummern ändern Modulbeschreibung Seite 1 Inhalt Allgemein...3 Installation...3 manuelle Eingabe von alten und neuen Adressnummern...4 Vorbereiten von Adressnummern-Änderungen in Tabellen...5 Seite 2 Allgemein Das INKS-Modul ermöglicht

Mehr

Fehlermonitor. Software zur seriellen Verbindung PC-Airdos Visualdatensignale und Fehlermeldungen-Ausagabe per SMS / Drucker

Fehlermonitor. Software zur seriellen Verbindung PC-Airdos Visualdatensignale und Fehlermeldungen-Ausagabe per SMS / Drucker Fehlermonitor Software zur seriellen Verbindung PC-Airdos Visualdatensignale und Fehlermeldungen-Ausagabe per SMS / Drucker Das Programm ist problemlos zu installieren auf jedem Windows-PC (XP) mit.net

Mehr

Support-Tipp Mai 2010 - Release Management in Altium Designer

Support-Tipp Mai 2010 - Release Management in Altium Designer Support-Tipp Mai 2010 - Release Management in Altium Designer Mai 2010 Frage: Welche Aufgaben hat das Release Management und wie unterstützt Altium Designer diesen Prozess? Zusammenfassung: Das Glück eines

Mehr

PSRDesigner. Punkt und Streifenrasterplatinen Designer

PSRDesigner. Punkt und Streifenrasterplatinen Designer PSRDesigner Punkt und Streifenrasterplatinen Designer PSRDesigner ist ein Programm um auf einfache Art und Weise die Bestückung von Punkt und Streifenrasterplatinen zu realisieren. Es können beliebig viele

Mehr

Alltag mit dem Android Smartphone

Alltag mit dem Android Smartphone 2 Alltag mit dem Android Smartphone 5. Viele Nutzer von Google-Konten nutzen diese zwar für Mail und Kalender, aber noch nicht für Google+. Bei der Einrichtung auf dem Smartphone erscheint jetzt eine Frage,

Mehr

Installation der Demoversion vom M-Doc AutoSigner

Installation der Demoversion vom M-Doc AutoSigner Mentana-Claimsoft AG Seite 1 Installation der Demoversion vom M-Doc AutoSigner Version 1.0 Mentana-Claimsoft AG Seite 2 Inhaltsverzeichnis 1 Installation der Demoversion von M-Doc AutoSigner... 3 2 Installation

Mehr

Unified Communication Client Installation Guide

Unified Communication Client Installation Guide Unified Communication Client Installation Guide Tel. 0800 888 310 business.upc.ch Corporate Network Internet Phone TV 1. Systemanforderungen UPC UC-Client 1.1 Betriebssystem Microsoft Windows Vista Microsoft

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

S7-Hantierungsbausteine für R355, R6000 und R2700

S7-Hantierungsbausteine für R355, R6000 und R2700 S7-Hantierungsbausteine für R355, R6000 und R2700 1. FB90, Zyklus_R/W Dieser Baustein dient zur zentralen Kommunikation zwischen Anwenderprogramm und dem Modul R355 sowie den Geräten R6000 und R2700 über

Mehr

TEAMWORK-Uploader. Dokumentenaustausch mit dem PC

TEAMWORK-Uploader. Dokumentenaustausch mit dem PC TEAMWORK-Uploader Dokumentenaustausch mit dem PC Inhalt 1. Upload Ordner einrichten... 3 2. TEAMWORK mit lokalem Ordner verknüpfen... 3 3. Verwendung der Dokumentenarten... 5 3.1 Standarddokumente...5

Mehr

Anbindung des eibport an das Internet

Anbindung des eibport an das Internet Anbindung des eibport an das Internet Ein eibport wird mit einem lokalen Router mit dem Internet verbunden. Um den eibport über diesen Router zu erreichen, muss die externe IP-Adresse des Routers bekannt

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

Melexis Programmer PTC-04 zu MLX90316 / MLX90333

Melexis Programmer PTC-04 zu MLX90316 / MLX90333 zu MLX90316 / MLX90333 Daughterboard PTC04-DB-90316 RS232-Anschluss zum PC (9pol Sub-D/male) 25pol Sub-D Connector (female) USB-Anschluss zum PC Motherboard PTC04 Versorgung intern PWRCON1 (48VDC) Spannungsversorgung

Mehr

Integrierter email-versand Konfiguration/Beschreibung

Integrierter email-versand Konfiguration/Beschreibung Einstellungen Integrierter email-versand Konfiguration/Beschreibung Der Versand von emails aus Atlantis erfolgt über das Freeware-Programm BLAT (derzeit mit der Version 2.6.1). Dieses Tools verschickt

Mehr

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1 CMS.R. Bedienungsanleitung Modul Cron Revision 1 Copyright 10.09.2009 www.sruttloff.de CMS.R. - 1 - WOZU CRON...3 VERWENDUNG...3 EINSTELLUNGEN...5 TASK ERSTELLEN / BEARBEITEN...6 RECHTE...7 EREIGNISSE...7

Mehr

DIGITALVARIO. Anleitung Bootloader. Ausgabe 0.1 deutsch 29.11.2005. für Direkt-Digital-Vario. Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003

DIGITALVARIO. Anleitung Bootloader. Ausgabe 0.1 deutsch 29.11.2005. für Direkt-Digital-Vario. Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003 DIGITALVARIO Anleitung Bootloader Ausgabe 0.1 deutsch 29.11.2005 für Direkt-Digital-Vario Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003 1. Funktion Der Bootloader dient dazu Updates der Variosoftware

Mehr

Feiertage in Marvin hinterlegen

Feiertage in Marvin hinterlegen von 6 Goecom GmbH & Co KG Marvin How to's Feiertage in Marvin hinterlegen Feiertage spielen in Marvin an einer Reihe von Stellen eine nicht unerhebliche Rolle. Daher ist es wichtig, zum Einen zu hinterlegen,

Mehr

Aktions-Tool. Online-Verwaltung für Einrichtungen & Unternehmen. Online-Verwaltung für Einrichtungen & Unternehmen

Aktions-Tool. Online-Verwaltung für Einrichtungen & Unternehmen. Online-Verwaltung für Einrichtungen & Unternehmen Aktions-Tool Online-Verwaltung für Einrichtungen & Unternehmen Inhaltsverzeichnis Kapitel 1 Willkommen beim Veranstaltungs-Tool des Boys Day Kapitel 2 Online-Verwaltung für Einrichtungen und Organisationen

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Automatische Installation (wenn das SSO-Applet nicht vorhanden ist)! Abbildung 1:Auswahldialog für Installationslaufwerk

Automatische Installation (wenn das SSO-Applet nicht vorhanden ist)! Abbildung 1:Auswahldialog für Installationslaufwerk SS EE IITTEE:: I 11/ /55 Bei jedem Aufruf des SSO-Applet wird kontrolliert, ob das Konfigurationsverzeichnis ( ssoapplet ) existiert. Dabei werden alle Laufwerke, auf die der Benutzer Lese- und Schreibrechte

Mehr

INNER WHEEL DEUTSCHLAND

INNER WHEEL DEUTSCHLAND INNER WHEEL DEUTSCHLAND INTERNATIONAL INNER WHEEL 90. DISTRIKT Liebe Inner-Wheel-Freundin, IIW-Headquarters hat Ihnen ein Schreiben zugesandt mit der Bitte, sich dort registrieren zu lassen. Was möchte

Mehr

DELFI. Benutzeranleitung Dateiversand für unsere Kunden. Grontmij GmbH. Postfach 34 70 17 28339 Bremen. Friedrich-Mißler-Straße 42 28211 Bremen

DELFI. Benutzeranleitung Dateiversand für unsere Kunden. Grontmij GmbH. Postfach 34 70 17 28339 Bremen. Friedrich-Mißler-Straße 42 28211 Bremen Grontmij GmbH Postfach 34 70 17 28339 Bremen Friedrich-Mißler-Straße 42 28211 Bremen T +49 421 2032-6 F +49 421 2032-747 E info@grontmij.de W www.grontmij.de DELFI Benutzeranleitung Dateiversand für unsere

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

1. Schritt: Export Datei im Fidelio erstellen

1. Schritt: Export Datei im Fidelio erstellen FIDELIO FRONT OFFICE MAILING MIT MAIL MERGE 1. Schritt: Export Datei im Fidelio erstellen Mail Merge erstellen Unter OPTIONEN ->BERICHTE unter WERBUNG auf VORBEREITUNG MAIL anwählen MAIL MERGE Maske öffnet

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden.

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. Der Serienversand Was kann man mit der Maske Serienversand machen? 1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. 2. Adressen auswählen,

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

ATHOS Benutzertreffen

ATHOS Benutzertreffen ATHOS Benutzertreffen Report of the Lab Glashütten, 10. November 2010 HighQSoft GmbH, Karst Schaap karst.schaap@highqsoft.de www.highqsoft.de / www.highqsoft.com 10 November 2010-1 Themen Aktueller Stand

Mehr

Inhaltsverzeichnis WWW.STEMMER-IMAGING.COM

Inhaltsverzeichnis WWW.STEMMER-IMAGING.COM Inhaltsverzeichnis 1 Identifizierung...2 2 Sicherheitshinweise...3 3 Das Anschließen...3 3.1 Für die Nutzung der RS232 Schnittstelle... 3 3.2 Für die Nutzung der USB Schnittstelle... 3 4 Die Inbetriebnahme...3

Mehr

ejgp Webseite Kurzeinführung

ejgp Webseite Kurzeinführung ejgp Webseite Kurzeinführung Inhaltsverzeichnis 1.Einloggen...2 2.Beitrag bearbeiten...2 3.Beitrag hinzufügen...3 4.Bild hoch laden und einfügen...3 5.Link in Text einfügen...4 6.Bilder für die Galerie

Mehr

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil IV: Programmieren an Beispielen Die

Mehr

Verbinden. der Firma

Verbinden. der Firma Verbinden mit dem SFTP-Server der Firma So einfach gehts: mit dem MAC (Mac OS X) mit dem PC (Windows XP) (bitte anklicken) SFTP-Server Benutzung beim Apple MacIntosh (Mac OS X) Zurück zur Auswahl SFTP-Server

Mehr

Lokales Netzwerk Wie kann ich lokal installierte Drucker im Netzwerk für andere Nutzer freigeben? Frage:

Lokales Netzwerk Wie kann ich lokal installierte Drucker im Netzwerk für andere Nutzer freigeben? Frage: Wie kann ich lokal installierte Drucker im Netzwerk für andere Nutzer freigeben? Frage: Ich möchte, dass ein Drucker von verschiedenen Rechnern aus genutzt werden kann. Wie kann ich eine Druckerfreigabe

Mehr

C++ mit Eclipse & GCC unter Windows

C++ mit Eclipse & GCC unter Windows C++ mit Eclipse & GCC Seite 1 / 14 C++ mit Eclipse & GCC unter Windows Hinweise Stand 18. Okt. 2014 => GCC 4.9.1 Boost 1.56.0 Eclipse Luna V. 4.4.1 Java Version 8, Update 25 (entspricht 1.8.0_25) Achtung

Mehr

Adobe Photoshop CS2, CS3, CS4, CS5 mit Auto-SoftProof-Ansicht

Adobe Photoshop CS2, CS3, CS4, CS5 mit Auto-SoftProof-Ansicht Typischerweise belässt und bearbeitet man digitale Bilder im RGB-Farbmodus, auch wenn das Hauptausgabeziel ein CMYK- Farbraum ist. Um eine farbliche Kontrolle des Ergebnisses während der Bearbeitung zu

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

ELSTER Daten versenden

ELSTER Daten versenden ELSTER Daten versenden Hauptmenü: Über den Haupt-Menüpunkt ELSTER gelangen Sie zu allen wichtigen Funktionen rund um ELSTER. - Verfügbarkeit: Sie können hierüber die Verfügbarkeit der ELSTER-Server für

Mehr

Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen

Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen Schnellanleitung: Verbuchung von Studien- und Prüfungsleistungen Die folgenden Schritte sind für die Verbuchung von Studien- bzw. Prüfungsleistungen notwendig. Eine Online-Anleitung mit vielen weiterführenden

Mehr

LANiS Mailversender ( Version 1.2 September 2006)

LANiS Mailversender ( Version 1.2 September 2006) LANiS-Mailversender (V 1.2) Installation und Bedienung 1 LANiS Mailversender ( Version 1.2 September 2006) Im LANiS- Schülermodul und im LANiS-Lehrermodul gibt es die Möglichkeit, Dateien aus der Schule

Mehr

Update VR-NetWorld-Software 3.34 PROFILWECHSEL SICHERHEITSDATEI (ALT) NACH SICHERHEITSDATEI (NEU) Anleitung nur für Versionen ab 3.34.

Update VR-NetWorld-Software 3.34 PROFILWECHSEL SICHERHEITSDATEI (ALT) NACH SICHERHEITSDATEI (NEU) Anleitung nur für Versionen ab 3.34. Update VR-NetWorld-Software 3.34 PROFILWECHSEL SICHERHEITSDATEI (ALT) NACH SICHERHEITSDATEI (NEU) Anleitung nur für Versionen ab 3.34 Für Der Empfehlung der Bundesnetzagentur und des Bundesamtes für Sicherheit

Mehr

Installation von Druckern auf dem ZOVAS-Notebook. 1. Der Drucker ist direkt mit dem Notebook verbunden

Installation von Druckern auf dem ZOVAS-Notebook. 1. Der Drucker ist direkt mit dem Notebook verbunden Installation von Druckern auf dem ZOVAS-Notebook Bei der Installation eines Druckers muss grundsätzlich unterschieden werden, ob der Drucker direkt am Notebook angeschlossen ist oder ob ein Drucker verwendet

Mehr

Steuer-Schnittstelle QSE-CI-NWK-E

Steuer-Schnittstelle QSE-CI-NWK-E qse-ci-nwk-e 1 10.4.07 Steuer-Schnittstelle Beschreibung Integriert ein GAFIK Eye QS-Licht- & Jalousien- Steuersystem mit einem Touchpanel, PC, oder einer anderen digitalen Einrichtung, die S232- Kommunikation

Mehr

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70 Thermoguard Thermoguard CIM Custom Integration Module Version 2.70 Inhalt - Einleitung... 3 - Voraussetzungen... 3 - Aktivierung und Funktion der Schnittstelle... 3 - Parameter... 4 - NLS-Einfluss... 4

Mehr

datenfabrik.email Validieren von Email-Adressen 1 www.datenfabrik.com

datenfabrik.email Validieren von Email-Adressen 1 www.datenfabrik.com datenfabrik.email Validieren von Email-Adressen Erstellen eines neuen SSIS Projektes. Wählen Sie das Template Integration Services Project aus.. Geben Sie einen Namen für das Projekt an und wählen Sie

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Menü Macro. WinIBW2-Macros unter Windows7? Macros aufnehmen

Menü Macro. WinIBW2-Macros unter Windows7? Macros aufnehmen Menü Macro WinIBW2-Macros unter Windows7?... 1 Macros aufnehmen... 1 Menübefehle und Schaltflächen in Macros verwenden... 4 Macros bearbeiten... 4 Macros löschen... 5 Macro-Dateien... 5 Macros importieren...

Mehr

teamsync Kurzanleitung

teamsync Kurzanleitung 1 teamsync Kurzanleitung Version 4.0-19. November 2012 2 1 Einleitung Mit teamsync können Sie die Produkte teamspace und projectfacts mit Microsoft Outlook synchronisieren.laden Sie sich teamsync hier

Mehr

Windows 98 / Windows NT mit NCP WAN Miniport-Treiber 23. Oktober 1998

Windows 98 / Windows NT mit NCP WAN Miniport-Treiber 23. Oktober 1998 Windows 98 / Windows NT mit NCP WAN Miniport-Treiber 23. Oktober 1998 XBMPD Windows 98 mit NCP WAN Miniport-Treiber 3 Benötigte Hard- und Software: 1. NCP ISDN ARROW Karte oder Box 2. Ihre CD-Rom mit dem

Mehr

Dokument Lob erstellen

Dokument Lob erstellen Dokument Lob erstellen Vorbemerkung Ein Lob wird immer mit einem Abschlusszeugnis ausgestellt und auch mit diesem Verteilt. Um ein Lob zu dokumentieren müssen folgende Bausteine definiert und eingerichtet

Mehr