Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Größe: px
Ab Seite anzeigen:

Download "Praktikum DST FPGA 5.Termin Projekterstellung & Simulation"

Transkript

1 Praktikum DST (FPGA Teil) 5. Termin Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Erstellung eines Projektes VHDL-Projekt-Design: Software - Simulation eines Projektes Hardware-Simulation Modul2: counter.vhd Projekt aus 2 Modulen: Projekt: 4 Bit Binär - Sekundenzähler: Projekt: 4 Bit HEX - Sekundenzähler: ( nur wenn noch Zeit ) Projekt: Dezimaler - Sekundenzähler: ( nur wenn noch Zeit ) Quartus II bietet dem Programmierer die Möglichkeit, die Projekte für die Beschreibung der digitalen Systeme in mehreren Design-Sprachen zu erstellen, die erstellten Projekte mit den dafür vorgesehenen Simulationswerkzeuge zu simulieren (z.b. mit ModelSim Altera), um Verhalten der entworfenen digitalen Systemmodelle auf Fehlerfreiheit zu prüfen. Mittels Quartus II können die Projekte auf der Hardware (z.b. auf DE1-Board Altera) simuliert werden. 1. Erstellung eines Projektes. Für die Erstellung eines Projektes in Quartus II-Umgebung gehen Sie folgendermaßen vor: 1. Erstellen Sie unter Windows-Umgebung ein Arbeitsverzeichnis, wo Sie alle Projekte speichern werden. z.b.: M:\DST\T5. 2. Erstellen Sie einen Unterordner für das aktuelle Projekt z.b.: A01_Clock 3. Starten Sie die Quartus II-Designumgebung. 4. Erstellen Sie ein neues Projekt [ File ] [ New Project Wizard ] [Next >]. Geben Sie den Pfad zum Arbeitsverzeichnis (working directory) an, und definieren Sie den Projektnamen (z.b. clock ). Bestätigen Sie die Eingabe mit. Anm.: 1) Tragen Sie Ihren Pfad ein. 2) Bei der Definition des Projektnamens wird der Name der Haupt -Einheit ( Top-Level-Entity ) automatisch gleichgesetzt. (Ein Projekt kann mehrere Einheiten enthalten, wobei nur eine von denen als Haupt -Einheit gesetzt wird). 3) Für die Auswahl des Projektnamens sind folgende Regeln zu beachten: _Praktikum_5_DST_FPGA.doc 1 of Zielinski

2 Termin Praktikum DST (FPGA Teil) Der Name des Projektes muss mit einem lateinischen Buchstaben anfangen. Der Projektnamen, der Umlaute oder Sonderbuchstaben enthält, ist nicht zulässig. Verwendung des _ -Zeichens ist erlaubt, soweit dieses nicht am Anfang und nicht am Ende des Projektnamens steht. Die restlichen Sonderzeichen sind bei Auswahl des Projektnamens auszuschließen. Verwendung von Ziffern ist erlaubt, soweit diese nicht am Anfang des Projektnamens stehen. VHDL-Schlüsselwörter dürfen nicht als Projektnamen verwendet werden. Im nächsten Fenster können (falls nötig) die Dateien, die nicht zum Projekt gehören aber im Projekt verwendet werden (z.b. WaveForm-Dateien aus den anderen Projekten, die vorher beschriebenen Komponenten ), zum Projekt hinzugefügt werden. Falls es keine solche Dateien gibt, weiter mit. Als nächstes wird die für das Projekt relevante Hardware festgelegt. Für unseres Praktikum wählen Sie stets als Device- Family Cyclone II und als Hardware-Spezifikation EP2C20F484C7 aus. Diese Angaben spezifizieren das DE1-Board, das im Praktikum verwendet wird. Bestätigen Sie die Auswahl mit : Im Project Navigator erscheint das leere Projekt : * Projekt-Navigator verfügt über mehrere Registerkarten. Hier werden einige von denen aufgelistet: 1) Hierarchy - die hierarchische Struktur des Projektes. 2) Files - Auflistung der Dateien, die zum Projekt gehören bzw. die im Projekt genutzt werden. 3) Design Units - Darstellung der einzelnen logischen Einheiten (entities), die im Projekt definiert und verwendet 5. Wählen Sie Design für Ihren Projektentwurf. Über [File] [New ] kann man die verschiedenen Projekt- Designs auswählen. 2. VHDL-Projekt-Design: VHDL-Tutorium_Link (zum schnellen nachschauen!) Bitte wählen Sie [VHDL File]-Option und bestätigen Sie die Auswahl mit. Es erscheint ein VHDL- Editor. Mit diesem Editor können Sie die VHDL-Programme erstellen. Speichern Sie den leeren Editor-File mit [File] [Save as ] unter dem vorgegebenen Namen clock.vhd. Unser Clock-Generator soll im ersten Test die Eingangsfrequenz durch 6 teilen und symmetrisch ausgeben. Wir benutzen die Standart-Library: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; Festlegung der Ports: Die Schnittstelle des VHDL-Modells verfügt über die folgenden Ein- /Ausgangssignale: entity clock is Port ( Clk rst op ); end clock; : in std_logic; : in std_logic; : out std_logic Zielinski 2 of _Praktikum_5_DST_FPGA.doc

3 Praktikum DST (FPGA Teil) 5. Termin Das Verhalten des Objektes: architecture RTL of clock is -- I used 24MHz constant max_count : natural := 6; begin compute : process(clk,rst) variable count : natural range 0 to max_count; begin if rst = '0' then -- Reset = active low count := 0; op <= '0'; elsif rising_edge(clk) then -- bei jeder Flanke if count < (max_count/2)-1 then op <='1'; count := count + 1; elsif count < max_count-1 then op <='0'; count := count + 1; else -- Periode fertig => neu count := 0; op <='1'; end if; end if; end process compute; end RTL; 6. Inhalt als Textfile ist P: Ordner als A01_clock.vhd.txt zu finden! 7. Kompilieren Sie das komplette Projekt. Das Kompilieren wird durch [Processing] [Start Compilation] oder [Strg L] oder direkt mit dem Symbol auf der Quartus II Standard-Leiste aufgerufen. ist OK! 10 Warnungen sind durch nicht erworbene Lizenzen und eine besagt, dass die Objekte nicht angeschlossen sind! 3. Software - Simulation eines Projektes. Die Simulation eines Projektes kann sowohl softwaretechnisch als auch hardwaretechnisch erfolgen. Hier betrachten wir die beiden Simulationsarten. 1. Software-Simulation Um die Software-Simulation zu ermöglichen, verfügt Quartus II über den integrierten WaveForm-Editor. Diesen kann man unter [File] [New] [University Program VWF] aufrufen. Im Rahmen des Praktikums wird ModelSim-Simulator verwendet. Es erscheint das folgende Fenster: _Praktikum_5_DST_FPGA.doc 3 of Zielinski

4 Termin Praktikum DST (FPGA Teil) Bei der Softwaresimulation gehen Sie wie folgt vor: a) Ein-/Ausgabepins einfügen: [Edit] [Insert] [Insert Node or Bus ] [Node Finder] [List] Hier können Sie die nötigen Pins markieren und nach rechts mit Taste Pins ausgewählt werden, drücken Sie die Taste. schieben. Sollen alle b) Die ausgewählten Pins werden dem Simulationsbild hinzugefügt. Die Simulationsdarstellung sieht wie folgt aus: Alle Eingangssignale haben LOW( 0 )-Pegel, der Wert des Ausgangssignals ist noch nicht bestimmt und daher unbekannt. c) Jetzt können die Werte für die Eingangssignale in den bestimmten Zeitintervallen definiert werden. Dies geschieht durch Markierung des relevanten Zeitintervalls und Auswahl des logischen Pegels. Die Auswahl des logischen Pegels erfolgt durch die Symbole. Stellen Sie Reset [rst] auf Passive also 1. d) Das Symbol bietet die Möglichkeit die periodischen Eingangssignale zu definieren. Stellen Sie [Clk] auf 40 ns ein. e) Nach der Definition der Eingangssignale kann die erstellte Wave-Form-Datei simuliert werden. Es gibt die folgenden Simulationsmöglichkeiten: Funktionale Simulation : Simulation der funktionalen Logik eines digitalen Systems Zielinski 4 of _Praktikum_5_DST_FPGA.doc

5 Praktikum DST (FPGA Teil) 5. Termin Zeitliche Simulation : Simulation der Logik eines digitalen Systems unter Berücksichtigung der Laufzeiten (der zeitlichen Verzögerungen bei der Bearbeitung der Signale durch logische Gatter). Der simulierte Schaltplan sieht wie folgt aus: 4. Hardware-Simulation Für die Hardware-Simulation muss man die Device-Familie und den Device-Namen im Projekt festlegen. Falls bei der Erstellung des Projektes die Hardware nicht definiert oder falsch definiert wurde, kann deren Spezifikation unter [Assignments] [Device] festgelegt oder geändert werden. Für unseres Praktikum ist Device EP2C20F484C7 der Familie Cyclone II von Bedeutung. Es sind die folgenden Optionen zu wählen: Diese Bezeichnung definiert das Altera DE1 Board, das im Praktikum verwendet wird. Bei der Hardwaresimulation gehen Sie wie folgt vor: a) Wählen Sie die Ein- /Ausgangspins, die für die Simulation notwendig sind. Dies geschieht durch Aufruf des Assignment-Editors: [Assignments] [Assignment Editor]. Durch Doppelklick mit der linken Maustaste auf [<<new>>] in der Spalte [To] sehen Sie das Fernglas-Symbol. Ein Klick mit der linken Maustaste auf das Symbol ruft das Node Find - Fenster auf. In dem Fenster wählen Sie als Filter-Option aus, und drücken Sie auf List. Es erscheint das folgende: _Praktikum_5_DST_FPGA.doc 5 of Zielinski

6 Termin Praktikum DST (FPGA Teil) Die einzelnen Pins können hier markiert und mit der Taste nach rechts als Selected Nodes verschoben werden. Sollen alle Pins ausgewählt werden, drücken Sie die Taste. Bestätigen Sie Ihre Auswahl mit OK. Der Assignment-Editor sieht jetzt wie folgt aus: b) Starten Sie jetzt den Pin-Planer mit [Assignments] [Pin Planner] : In Location geben Sie nun die PIN-Namen PIN_xx (nur B12, R20 und R22 eingeben!) an dehnen die Signale an die Außenwelt gelangen sollen (s.u.) an. c) In der Spalte Location tragen Sie die Schlüsselwörter für die DE1 Board-Pins. Z.B. für den Eingang rst sollte Taster0 KEY0 belegt werden. So tragen Sie in Location das Schlüsselwort PIN_R22 ein. Bestätigen Sie die Eingabe mit der Eingabetaste. clk an CLOCK_24[1] PIN_B12 Eingangstakt 24 MHz op an LEDR0 PIN_R20 Ausgangstakt 24MHz / Faktor rst an KEY0 PIN_R22 Reset Taste (invertierte Logik aktiv 0 ) s. u.!!! Um die LED mit 1Hz blinken zu lassen, muss max_count natürlich auf stehen!!!! Den rst natürlich im Programm als 0 erkennen! d) Hier können die Pins auf dem DE1-Board für die Ein-/Ausgangssignale belegt werden. Das DE1-Board verfügt über: 4 Tasten (KEY0,, KEY3) entprellt und neg. Logik 10 Schiebeschalter (SW0,, SW9) 8 grüne Leuchtdioden (LEDG0,, LEDG7) 10 rote Leuchtdioden (LEDR0,, LEDR9) 4 Siebensegmentanzeigen (HEX0,, HEX3) Zielinski 6 of _Praktikum_5_DST_FPGA.doc

7 Praktikum DST (FPGA Teil) 5. Termin Frequenzgeber (24 MHz, 27 MHz, 50 MHz) Die Pinbelegung des im Praktikum verwendeten DE1-Boards ist folgende: Tasten Pinbelegung KEY3* KEY2* KEY1* KEY0* T21 T22 R21 R22 Schiebeschalter Pinbelegung *Besonderheiten bei der Verwendung der Tasten KEY0..KEY3: Die mit den Tasten KEY0..KEY3 erzeugten Signale werden als active low betrachtet: Taste Signalwert nicht gedrückt 1 gedrückt 0 SW9 SW8 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0 L2 M1 M2 U11 U12 W12 V12 M22 L21 L22 Leuchtdioden GRÜN Pinbelegung LEDG LEDG LEDG LEDG LEDG LEDG LEDG LEDG Y21 Y22 W21 W22 V21 V22 U21 U22 Leuchtdioden ROT Pinbelegung LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR LEDR R17 R18 U18 Y18 V19 T18 Y19 U19 R19 R20 7-Segmentanzeige Pinbelegung HEX3 HEX2 HEX1 HEX0 HEX3[0] F4 HEX2[0] G5 HEX1[0] E1 HEX0[0] J2 HEX3[1] D5 HEX2[1] G6 HEX1[1] H6 HEX0[1] J1 HEX3[2] D6 HEX2[2] C2 HEX1[2] H5 HEX0[2] H2 HEX3[3] J4 HEX2[3] C1 HEX1[3] H4 HEX0[3] H1 HEX3[4] L8 HEX2[4] E3 HEX1[4] G3 HEX0[4] F2 HEX3[5] F3 HEX2[5] E4 HEX1[5] D2 HEX0[5] F1 HEX3[6] D4 HEX2[6] D3 HEX1[6] D1 HEX0[6] E2 Anm.: Punkt ist durch Pin nicht definiert und kann deshalb nicht genutzt werden _Praktikum_5_DST_FPGA.doc 7 of Zielinski

8 Termin Praktikum DST (FPGA Teil) Frequenzgeber Pinbelegung EXT_CLK 24 MHz 50 MHz 27 MHz CLOCK_24[0] A12 CLOCK_27[0] D12 M21 L1 CLOCK_24[1] B12 CLOCK_27[1] E12 e) Nach der Belegung der Pins für alle Ein-/Ausgangssignale speichern Sie das Projekt nochmal ab und kompilieren Sie das gesamte Projekt. f) Jetzt muss DE1-Board programmiert werden. Zu diesem Zweck rufen Sie unter Tools das Programmer -Fenster auf: Falls das Board noch nicht benutz wurde erscheint : No Hardware muss das Hardware Setup durchgeführt werden. Currently selected hardware: auf USB-Blaster. Bitte überzeugen Sie sich, dass für die Hardware die Bezeichnung USB-Blaster [USB-0] eingetragen ist. Sollte dies nicht der Fall sein, wählen Sie die Hardware mithilfe der Taste Hardware-Setup aus. Dann mit beenden! Mit der Taste fügen Sie die Datei ein, mit der das DE1-Board programmiert werden soll. Diese Datei hat eine Endung *.sof und befindet sich in der Regel im Ordner output_files im Projektverzeichnis. Nach dem erfolgreichen Einfügen dieser Datei hat das Programmer -Fenster die folgende Ansicht: g) Starten Sie den Programmiervorgang mit der Taste und testen Sie die Funktion Ihres Programms. h) Für nachfolgende Projekte benötigen wir lediglich den File clock.vhd! 5. Modul2: counter.vhd Erstellen Sie auf gleiche Weise, in einem neuen Projekt mit dem Namen counter in einem neuen Ordner, einen 4-Bit-Binär-Zähler, dessen 4 Ausgänge Binär zur Verfügung stehen und einen enable- Eingang besitzt Zielinski 8 of _Praktikum_5_DST_FPGA.doc

9 Praktikum DST (FPGA Teil) 5. Termin library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port( clk: in std_logic; reset: in std_logic; enable: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture behav of counter is signal pre_count: std_logic_vector(3 downto 0); begin process(clk, enable, reset) begin if reset = '1' then pre_count <= "0000"; elsif (clk='1' and clk'event) then if enable = '1' then pre_count <= pre_count + "1"; end if; end if; end process; count <= pre_count; end behav; Inhalt als Textfile ist P: Ordner als A05_counter.vhd.txt zu finden! Führen Sie lediglich die Softwareanalyse des aktuellen Projektes durch. Testen Sie zuerst mit 40ns clk, enable = 1, und reset = 0. Dann mit Aktivität der beiden Eingänge z.b.: _Praktikum_5_DST_FPGA.doc 9 of Zielinski

10 Termin Praktikum DST (FPGA Teil) 6. Projekt aus 2 Modulen: Erstellen ein komplett neues Projekt Zaehler im neuen Ordener A06a_Zaehler. Kopieren Sie Ihren funktionierenden clock.vhd Files in diesen Ordner. Legen Sie den main-file Zaehler Zaehler.vhd an. Importieren Sie Ihr vhld Objekt clock.vhd in den Project Navigator s.r.. Importieren Sie die nachfolgenden Zeilen Block für Block in Zaehler.vhd. --- Includes library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; --- PINS im main - Modul ENTITY Zaehler IS PORT ( CLOCK_24 KEY LEDR ); END Zaehler; : IN STD_LOGIC; : IN STD_LOGIC; : OUT STD_LOGIC --- Wie haengt alles zusammen ARCHITECTURE Behavior OF Zaehler IS --- Headerfiles COMPONENT clock PORT( Clk rst op ); END COMPONENT; : IN STD_LOGIC; : in std_logic; : out std_logic --- Signal - Zwischen - Bezeichner SIGNAL rst SIGNAL clk SIGNAL op : STD_LOGIC; : STD_LOGIC; : STD_LOGIC; --- Zuweisungen BEGIN rst clk LEDR <= NOT(KEY); <= CLOCK_24; <= op; --- Object - Zuweisungen m_clock: clock PORT MAP (clk, rst, op); END Behavior; Zielinski 10 of _Praktikum_5_DST_FPGA.doc

11 Praktikum DST (FPGA Teil) 5. Termin Laden Sie im Assignment Editor alle Pins! Ordnen Sie im PIN-Planer die Location zu (s.r. oder s.o.)! Projekt neu übersetzen und hardwaremäßig testen bis alles klappt. LEDR[0] blinkt mit 1 Hz. Wenn KEY[0] betätigt wird hört es auf. 7. Projekt: 4 Bit Binär - Sekundenzähler: Speichern Sie Ihr Project 6. Kopieren Sie den Ordner => A07_Zaehler. Fahren Sie jetzt mit dem Projekt fort, indem Sie Ihre counter.vhd in das System implementieren. Der counter hat als Input Takt den op Takt vom clock, reset von KEY[0] und enable KEY[1]. Output soll zu den LEDR[1..4] geleitet werden. Gehen Sie vor wie unter LEDR besteht jetzt also aus 5 Bit LEDR : OUT STD_LOGIC_VECTOR(4 downto 0) --- Headerfiles noch COMPONENT counter hinzufügen Signal - Zwischen - Bezeichner SIGNAL cclk : STD_LOGIC; SIGNAL cena : STD_LOGIC; SIGNAL ccnt : STD_LOGIC_VECTOR(3 downto 0); --- Zuweisungen cclk cena LEDR <= op; <= KEY(1); <= ccnt( 3 downto 0) & op; --- Object - Zuweisungen m_counter: counter PORT MAP (cclk, rst, cena, ccnt); Da wir KEY und LEDR von Binär auf VECTOR umdefiniert haben sollten wir die Assignments erst mal löschen und dann wenn keine Fehler mehr da sind neu hinzufügen. Test Sie die komplette Funktion mit reset und enable _Praktikum_5_DST_FPGA.doc 11 of Zielinski

12 Termin Praktikum DST (FPGA Teil) 8. Projekt: 4 Bit HEX - Sekundenzähler: ( nur wenn noch Zeit ) Speichern Sie Ihr Project 7. Kopieren Sie den Ordner => A08a_Zaehler. Jetzt den gegebenen File LED7Seq.vhd in das neue Projekt einbinden. BIN ist eine 4-Bit-Binär-Zahl deren Hex-Wert in der 7-Segmentanzeige erscheint. Fügen Sie die Initialisierung, das Routing und den Aufruf ins main Zaehler.vhd ein. --- Die main-ports HEX0 : OUT STD_LOGIC_VECTOR(6 downto 0); --- Der Objekt - Aufbau COMPONENT LED_anzeige_hex is PORT( BIN : in std_logic_vector(3 downto 0); LED_HEX : out std_logic_vector(6 downto 0) ); END COMPONENT; --- Signal - Zwischen - Bezeichner SIGNAL SEG7_DIG : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL HEXS0 : STD_LOGIC_VECTOR(6 downto 0); --- Zuweisungen HEX0 <= HEXS0; SEG7_DIG <= ccnt; --- Object - Zuweisungen m_hex0: LED_anzeige_hex PORT MAP (SEG7_DIG, HEXS0); Nachdem Ihr Programm Fehlerfrei kompiliert ist, müssen Sie noch die PINs zuweisen. Die neuen PINs verbinden. 9. Projekt: Dezimaler - Sekundenzähler: ( nur wenn noch Zeit ) 9a. Bauen Sie im neuen Projekt den Sekundenzähler counter.vhd so um, dass er dezimal zählt also Im (BCD-Code). 9b. Jetzt den Zähler so erweitern, dass er bis zu einem variablen übergebenen End-Wert zählt. 9c. Erstellen Sie mit einer zusätzlichen HEX-Anzeige die Sekundenausgabe der Uhr. Ende des FPGA - Praktikums für das WS 2015 / Zielinski 12 of _Praktikum_5_DST_FPGA.doc

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Anleitung für VHDL tools

Anleitung für VHDL tools Anleitung für VHDL tools Harald Affenzeller V 1.0.0 Email: Harald.Affenzeller@fh-hagenberg.at Hagenberg, 14. Oktober 2003 Zusammenfassung Dieses Dokument stellt eine Anleitung zur Verwendung von eingesetzten

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 4. Semester - SS 2006 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

FPGA-Projektentwicklung mit Quartus

FPGA-Projektentwicklung mit Quartus FPGA-Projektentwicklung mit Quartus Von Paul Goossens Schritt für Schritt zum Ziel Das Einarbeiten in neue Software erfordert stets einige Zeit und Übung. In diesem Dokument geben wir Newcomern Hilfestellung

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

Einführung in Altera Quartus II 11.0

Einführung in Altera Quartus II 11.0 Einführung in Altera Quartus II 11.0 Version 0.1 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Überblick: Programmierung der USB-Boards. Erstmalige Inbetriebnahme. Programmiervorgang:

Überblick: Programmierung der USB-Boards. Erstmalige Inbetriebnahme. Programmiervorgang: Überblick: Programmierung der USB-Boards Erstmalige Inbetriebnahme Flip 2.4.6 installieren, Installationspfad ohne Punkte und Leerzeichen wählen! Board an die USB-Schnittstelle anschließen Schiebeschalter

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a

Mehr

Prof. Dr. Pollakowski 19.03.2013

Prof. Dr. Pollakowski 19.03.2013 Installations- und Bedienungsanleitung für die Mikrocontroller-Entwicklungsumgebung, das Mikrocontroler-Experimentalsystem und den Mikrocontroller-Simulator 1 Begriffe: Entwicklungsumgebung = ein Programm

Mehr

Erster Schritt Vom Herunterladen bis zum Programmieren

Erster Schritt Vom Herunterladen bis zum Programmieren Erster Schritt Vom Herunterladen bis zum Programmieren Revision vom 27.07.2012 Verzeichnis 1. Einleitung 3 2. Herunterladen 4 3. Installation 5 4. Atmel Studio 6 6 4.1. Neues Projekt 7 4.2. Hinzufügen

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

TYPO3 Redaktoren-Handbuch

TYPO3 Redaktoren-Handbuch TYPO3 Redaktoren-Handbuch Kontakt & Support: rdv interactive ag Arbonerstrasse 6 9300 Wittenbach Tel. 071 / 577 55 55 www.rdvi.ch Seite 1 von 38 Login http://213.196.148.40/typo3 Username: siehe Liste

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

HILFE ZUM ÄNDERN VON INHALTEN

HILFE ZUM ÄNDERN VON INHALTEN HILFE ZUM ÄNDERN VON INHALTEN Erste Schritte - Loggen Sie sich mit dem Benutzernamen und dem Passwort ein. Bestätigen mit Enter-Taste oder auf den grünen Button klicken. hier bestätigen - Wählen Sie den

Mehr

Whitepaper 428-01 VCI - Virtual CAN Interface Einbindung in LabWindows/CVI

Whitepaper 428-01 VCI - Virtual CAN Interface Einbindung in LabWindows/CVI Whitepaper 428-01 VCI - Virtual CAN Interface Einbindung in LabWindows/CVI The expert for industrial and automotive communication IXXAT Hauptsitz Geschäftsbereich USA IXXAT Automation GmbH IXXAT Inc. Leibnizstr.

Mehr

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil Hochschule HSR Oberseestrasse 10 CH-8640 Tel 055 222 47 00 Fax 055 222 47 07 1. März 1999 Anleitung SDDesigner 1. Einführung Der SDDesigner ist ein grafisches Eingabewerkzeug für Übergangsdiagramme. Die

Mehr

Anleitung zum Erstellen einer Library (Altium)

Anleitung zum Erstellen einer Library (Altium) Anleitung zum Erstellen einer Library (Altium) 1, Neue Library erstellen: File -> New -> Library -> Schematic Library Danach öffnet sich eine Zeichenfläche und am Rand eine Library Leiste. 1,1 Umbenennen

Mehr

Hex Datei mit Atmel Studio 6 erstellen

Hex Datei mit Atmel Studio 6 erstellen Hex Datei mit Atmel Studio 6 erstellen Es werden generell keine Atmel Studio Dateien ins Repository geladen, da jeder seine Dateien an anderen Orten liegen hat und weil nicht jeder das Atmel Studio 6 benutzt.

Mehr

Handbuch AP Backoffice

Handbuch AP Backoffice Handbuch AP Backoffice Verfasser: AP marketing Tony Steinmann Bahnhofstrasse 13 6130 Willisau Alle Rechte vorbehalten. Willisau, 24. August 2005 Handbuch unter www.ap-backoffice.ch/handbuch_ap-backoffice.pdf

Mehr

easyident Configurator 1.0

easyident Configurator 1.0 easyident Configurator 1.0 Der easyident Configurator ist ein Programm zur Verwaltung von Transpondern und zur Konfiguration von easyident Modulen. Dazu werden die Transponder und Module zuerst in einer

Mehr

Pascal-Compiler für den Attiny

Pascal-Compiler für den Attiny Das Attiny-Projekt MikroPascal 1 Pascal-Compiler für den Attiny Manch einer möchte vielleicht unsere Attiny-Platine nicht mit BASCOM programmieren. Verschiedene Alternativen bieten sich an. Ein besonders

Mehr

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ethernet Kabel Benötigte Software

Mehr

Schaltfläche Start/Drucker und Faxgeräte, mit rechter Maustaste auf Druckersymbol klicken, Befehl Eigenschaften wählen.

Schaltfläche Start/Drucker und Faxgeräte, mit rechter Maustaste auf Druckersymbol klicken, Befehl Eigenschaften wählen. Fragen und Antworten zu Modul 2 Computerbenutzung 1. Wie kann man die Schriftart der einzelnen Menüs verändern? Mit rechter Maustaste auf Desktop klicken, Befehl Eigenschaften, Register Darstellung, Erweitert,

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen.

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Die auf dem PC geschriebene Texte oder Programme können über dem ClassPad Manager zu ClassPad 300 übertragen werden. Dabei kann

Mehr

Digitaltechnik 1 Übungen. Kurzeinführung für MAX+plus II

Digitaltechnik 1 Übungen. Kurzeinführung für MAX+plus II Inhaltsverzeichnis: Übungen Kurzeinführung für MAX+plus II Seite 1. Einführung in das Entwurfswerkzeug MAX+plus II 1 2. Busverdrahtung 3 3. Makrofunktionen 4 4. Parametrierbare Schaltungsblöcke 5 5. Hinweise

Mehr

Einstellungen des Datei-Explorers

Einstellungen des Datei-Explorers Einstellungen des Datei-Explorers Die Ordnerstruktur des Explorers Der Datei-Explorer ist der Manager für die Verwaltung der Dateien auf dem Computer. Er wird mit Klick auf in der Taskleiste oder mit Rechtsklick

Mehr

Wer möchte, kann sein Programm auch auf echter Hardware testen

Wer möchte, kann sein Programm auch auf echter Hardware testen U4 3. Übungsaufgabe U4 3. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-μC Register I/O-Ports AVR-Umgebung Peripherie U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

Memory-Mapped-IO. Kapitel 4

Memory-Mapped-IO. Kapitel 4 Kapitel 4 Memory-Mapped-IO In dieser Übung soll das bereits von Ihnen entwickelte programmierbare PWM- Modul an den Nios II Prozessor angebunden und dann per Software gesteuert werden. Altera stellt dafür

Mehr

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen Xilinx ISE Tutorial 1 Einführung Die HaDePrak-DLX wird mithilfe der Xilinx ISE Software aufgebaut. Sie integriert einen Editor für Hardwarebeschreibungssprachen, ein Eingabeprogramm für schematische Layouts

Mehr

DesignExpert 8 Kurzeinführung. 1. Projekt (*.syn) und Datei (*.abl) anlegen

DesignExpert 8 Kurzeinführung. 1. Projekt (*.syn) und Datei (*.abl) anlegen DesignExpert - Kurzeinführung Seite 1 DesignExpert 8 Kurzeinführung Jedes Projekt kann aus mehreren Dateien bestehen: Im Projektnavigator (Abb. 2) werden alle zum Projekt gehörenden Dateien verwaltet.

Mehr

KOMPRIMIERUNGS & VERSCHLÜSSELUNGS- TOOL

KOMPRIMIERUNGS & VERSCHLÜSSELUNGS- TOOL SECRETZIP KOMPRIMIERUNGS- & VERSCHLÜSSELUNGS-Programm (nur für Windows) Das Programm ist auf dem USB Flash Drive enthalten. Bitte lesen Sie das Handbuch für den USB Flash Drive oder besuchen Sie integralmemory.com,

Mehr

Die Benutzeroberfläche von PDF Editor besteht aus den folgenden Bereichen:

Die Benutzeroberfläche von PDF Editor besteht aus den folgenden Bereichen: Bedienungsanleitung CAD-KAS PDF Editor 3.1 Die Benutzeroberfläche von PDF Editor besteht aus den folgenden Bereichen: Hauptmenu Werkzeuge zum Bearbeiten der Datei inblase2:=false; Einige Funktionen des

Mehr

Übungen Architektur Eingebetteter Systeme

Übungen Architektur Eingebetteter Systeme Universität Ulm Institut für Eingebettete Systeme und Echtzeitsysteme Übungen Architektur Eingebetteter Systeme Dipl.-Ing. Tobias Bund SS 2010 Inhaltsverzeichnis 1 Ziel der Übungen..............................................

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

B) Klassenbibliotheken Turtle und Util (GPanel, Console) installieren Ein Unterverzeichnis classes auf der Festplatte erstellen, z.b.

B) Klassenbibliotheken Turtle und Util (GPanel, Console) installieren Ein Unterverzeichnis classes auf der Festplatte erstellen, z.b. jcreator Seite 1 JCreator (Windows) 1. Installation Die wichtigsten Installationsschritte: A) Java 2 Development Kit J2SE 5.0 RC installieren. Die aktuelle Installationsdatei (jdk-1_5_09-rc-windows-i586.exe)

Mehr

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes Erste Schritte Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Das Arduino-Board Ihr Fundino-Board Anschluss über USB Anschluss über USB Programmierumgebung Download

Mehr

B) Klassenbibliotheken Turtle und Util (GPanel, Console) installieren Ein Unterverzeichnis classes auf der Festplatte erstellen, z.b.

B) Klassenbibliotheken Turtle und Util (GPanel, Console) installieren Ein Unterverzeichnis classes auf der Festplatte erstellen, z.b. jcreator Seite 1 JCreator (Windows) JCreator ist eine einfache, schnelle und effiziente Java-DIE. Eine gratis Testversion sowie eine academic Lizenz für $35.- kann von der Website www.jcreator.com heruntergeladen

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Anleitung Installation und Kurzanleitung Tachostore/D-Box

Anleitung Installation und Kurzanleitung Tachostore/D-Box Anleitung Installation und Kurzanleitung Tachostore/D-Box Inhalt 1 Installation Tachostore...2 2 Beseitigung der Installationsprobleme...2 3 Registrierung bei Micropross...3 4 D-Box USB Treiber Installation...3

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Einstellungen des Windows-Explorers

Einstellungen des Windows-Explorers Einstellungen des Windows-Explorers Die Ordnerstruktur des Explorers Der Explorer ist der Manager für die Verwaltung der Dateien auf dem Computer. Er wird mit Rechtsklick auf Start oder über Start Alle

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS)

Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS) Installationsanweisung Aktivierung für RadarOpus für PC s ohne Internetzugang (WINDOWS) Dieses Handbuch hilft Anwendern, ihren RadarOpus Dongle (Kopierschutz) auf einem anderen Computer zu aktivieren,

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

8.1 Grundsätzlicher Aufbau der Beispiele

8.1 Grundsätzlicher Aufbau der Beispiele 107 8 Beispiele Nachdem nun viele theoretische Grundlagen gelegt wurden, kann man mit dem Programmieren eines Mikrocontrollers beginnen. In den folgenden Kapiteln werden verschiedene Möglichkeiten der

Mehr

SartoCollect V1.0 Installations- und Betriebsanleitung

SartoCollect V1.0 Installations- und Betriebsanleitung SartoCollect V1.0 Installations- und Betriebsanleitung Vielen Dank für den Kauf der Software SartoCollect. Die Software SartoCollect verbindet Ihr Gerät direkt mit einer Excel - Arbeitsmappe oder einer

Mehr

Internet Kapitel 4 Lektion 3 Organisation

Internet Kapitel 4 Lektion 3 Organisation Basic Computer Skills Internet Internet Kapitel 4 Lektion 3 Organisation Text kopieren und Text löschen Link einfügen Adressbücher und Kontaktgruppen / Verteilerlisten Nachricht kennzeichnen und als ungelesen

Mehr

Die wichtigsten Funktionen im Umgang mit Windows. Achim Ermert Diese Unterlagen sind nur für den internen Gebrauch zu nutzen Seite 1

Die wichtigsten Funktionen im Umgang mit Windows. Achim Ermert Diese Unterlagen sind nur für den internen Gebrauch zu nutzen Seite 1 Achim Ermert Diese Unterlagen sind nur für den internen Gebrauch zu nutzen Seite 1 Inhaltsverzeichnis: Seite 3 bis Seite 5 Einstieg mit Maus und Tastatur Seite 3 bis Seite 3 Die PC Tastatur Seite 4 bis

Mehr

myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3

myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3 myavr Klassendiagramm der UML mit SiSy-AVR Schnelleinstieg für myavr Board MK3 Zielstellung Dieser Schnelleinstieg demonstriert das Arbeiten mit dem Klassendiagramm in SiSy AVR mit der Bibliothek für das

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

SCHNELLEINSTIEG ZUM TOOL LONMAKER

SCHNELLEINSTIEG ZUM TOOL LONMAKER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL LONMAKER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Ein erstes Assembler-Projekt

Ein erstes Assembler-Projekt Das Attiny-Projekt Ein erstes Assembler-Projekt 1 Ein erstes Assembler-Projekt In diesem Kapitel wollen wir ein erstes einfaches Assembler-Programm für unsere Attiny-Platine schreiben. Worum soll es gehen?

Mehr

PICkit 2 / 3. Programmierung mit dem USB-Programmer PICkit2 bzw. PICkit3 (Microchip) AB-2011-01

PICkit 2 / 3. Programmierung mit dem USB-Programmer PICkit2 bzw. PICkit3 (Microchip) AB-2011-01 PICkit 2 / 3 Programmierung mit dem USB-Programmer PICkit2 bzw. PICkit3 (Microchip) AB-2011-01 In diesem Dokument wird ein Umbau beschrieben. Für die Funktion des Umbaus gibt es keine Garantie. Für durch

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

1 MAKROS AUFZEICHNEN. 1.1 EinfÄhrung

1 MAKROS AUFZEICHNEN. 1.1 EinfÄhrung Makro Aufzeichnen Makro + VBA effektiv 1 MAKROS AUFZEICHNEN 1.1 EinfÄhrung Sie kçnnen Excel sehr einfach automatisieren, indem Sie ein Makro aufzeichnen. Ühnlich wie bei einem Kassettenrecorder werden

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Kurzanleitung Visualisierungssoftware Easywave CCsoft

Kurzanleitung Visualisierungssoftware Easywave CCsoft ELDAT GmbH Im Gewerbepark 14 D-15711 Königs Wusterhausen Tel. + 49 (0) 33 75 / 90 37-0 Fax: + 49 (0) 33 75 / 90 37-90 Internet: www.eldat.de E-Mail: info@eldat.de Titel: Kurzanleitung Visualisierungssoftware

Mehr

4 Inhalt bearbeiten und anlegen

4 Inhalt bearbeiten und anlegen 4 Inhalt bearbeiten und anlegen 4.1 Seite aufrufen Im Funktionsmenü Seite aufrufen. Die Ordner und Seiten Ihres Baukastens erscheinen (= Seitenbaum). Klick auf - Symbol ermöglicht Zugriff auf Unterseiten

Mehr

Installation des edu- sharing Plug- Ins für Moodle

Installation des edu- sharing Plug- Ins für Moodle Installation des edu- sharing Plug- Ins für Moodle [edu-sharing Team] [Dieses Dokument beschreibt die Installation und Konfiguration des edu-sharing Plug-Ins für das LMS Moodle.] edu- sharing / metaventis

Mehr

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform Einführung in das Programmieren in der Sekundarstufe 1 mit einem Arduino und der Physical-Computing-Plattform 1 Arduino mit Breadboard (Steckbrett) und LED mit Vorwiderstand Arduino-Board Uno R3 mit Mikrocontroller

Mehr

Anleitung zur Verwendung von Silverstripe 3.x

Anleitung zur Verwendung von Silverstripe 3.x Anleitung zur Verwendung von Silverstripe 3.x Inhaltsverzeichnis: 1. EINLEITUNG 2. ORIENTIERUNG 2.1 Anmelden am CMS 2.2 Die Elemente des Silverstripe CMS 2.3 Funktion des Seitenbaums 2.4 Navigieren mit

Mehr

Projekt Nr. 15: Einen elektronischen Würfel erstellen

Projekt Nr. 15: Einen elektronischen Würfel erstellen Nun wissen Sie, wie Sie Zufallszahlen erzeugen können. Als Nächstes wollen wir diese neuen Kenntnisse gleich in die Tat umsetzen, indem wir einen elektronischen Würfel konstruieren. Projekt Nr. 15: Einen

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

OPENDO neo. Aufzugsteuerung. Kurzanleitung D0068301

OPENDO neo. Aufzugsteuerung. Kurzanleitung D0068301 OPENDO neo Aufzugsteuerung Kurzanleitung D0068301 DE Lesen Sie diese Anleitung vor der Benutzung sorgfältig durch und bewahren Sie sie auf. Geben Sie die Anleitung im Falle einer Weiterveräußerung mit

Mehr

DENON DJ Music Manager

DENON DJ Music Manager DENON DJ Music Manager Der DENON DJ Music Manager verfügt über folgende Funktionen Datenbanken erstellen und aktualisieren Wiedergabe Dateiattribute ändern Dateisuche Wiedergabelisten erstellen und aktualisieren

Mehr

Diese Anleitung bezieht sich auf FixFoto, V 3.40. In älteren oder neueren Versionen könnte die Arbeitsweise anders sein.

Diese Anleitung bezieht sich auf FixFoto, V 3.40. In älteren oder neueren Versionen könnte die Arbeitsweise anders sein. Pfade einstellen Stand: Dezember 2012 Diese Anleitung bezieht sich auf FixFoto, V 3.40. In älteren oder neueren Versionen könnte die Arbeitsweise anders sein. Diese Anleitung soll zeigen, wie man Pfad-Favoriten

Mehr

Anlagenplanung mit STEP 7 von Siemens

Anlagenplanung mit STEP 7 von Siemens Anlagenplanung mit STEP 7 von Siemens SIMATIC Manager 1 Neues Projekt öffnen Wählen Sie unter dem Menüpunkt Datei den Punkt Neu Hier geben Sie den Projektnamen ein. 2 Einfügen der PC Station Wählen Sie

Mehr

TeleBuilder for Process Data

TeleBuilder for Process Data TeleBuilder for Process Data Komponenten für die Lean Web Automation - Benutzeranleitung Version: 1.0 / 15.11.005 Autoren: Dipl.-Ing. S. Karasik Prof. Dr.-Ing. R. Langmann 1. Allgemeine Information Der

Mehr

A) Durchsuchen von Datenbanken im Internet durch Endnote

A) Durchsuchen von Datenbanken im Internet durch Endnote EINLEITUNG/ANWEISUNGEN ZU DIESEM TEXT Wir werden die obere Liste (File/ Edit usw.) benutzen, obwohl die meisten Funktionen auch möglich mit rechtem Mausklick, mit Kombinationen der Tastatur oder mit den

Mehr

1 MAKROS AUFZEICHNEN. 1.1 Einführung

1 MAKROS AUFZEICHNEN. 1.1 Einführung Makro Aufzeichnen Makro + VBA 2010 effektiv 1 MAKROS AUFZEICHNEN 1.1 Einführung Sie können Excel sehr einfach automatisieren, indem Sie ein Makro aufzeichnen. Ähnlich wie bei einem Kassettenrecorder werden

Mehr

Anpassung der SolidWorks-Umgebung auf externen Rechnern

Anpassung der SolidWorks-Umgebung auf externen Rechnern Anpassung der SolidWorks-Umgebung auf externen Rechnern Wer der Fachhochschule Kiel SolidWorks startet, arbeitet mit einer angepassten Benutzerumgebung und benutzt FH-Vorlagen für Teile, Baugruppen und

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

RÖK Typo3 Dokumentation

RÖK Typo3 Dokumentation 2012 RÖK Typo3 Dokumentation Redakteur Sparten Eine Hilfe für den Einstieg in Typo3. Innpuls Werbeagentur GmbH 01.01.2012 2 RÖK Typo3 Dokumentation Inhalt 1) Was ist Typo3... 3 2) Typo3 aufrufen und Anmelden...

Mehr

FTP-Server im System einbinden

FTP-Server im System einbinden Seite 1 von Lumen FTP-Server im System einbinden Wer eine Website besitzt, schiebt seine Daten häufig über einen direkten FTP- Zugang auf den Server. Dieser Zugang wird zumeist über zusätzliche Programme

Mehr

Installationsanleitung Primera LX400

Installationsanleitung Primera LX400 Installationsanleitung Primera LX400 Inhalt 1. Installation an USB-Schnittstelle 2. Installation unter Windows 7 3. Drucker an Pharmtaxe anpassen 4. Netzwerkinstallation 5. Drucker aktivieren 6. Netzwerkprobleme

Mehr

Erweiterung Bilderstammdaten und bis zu fünf Artikelbilder

Erweiterung Bilderstammdaten und bis zu fünf Artikelbilder Erweiterung Bilderstammdaten und bis zu fünf Artikelbilder Mit Hilfe dieser Erweiterung können Sie bis zu vier zusätzliche Artikelbilder in den Stammdaten eines Artikels verwalten. Diese stehen Ihnen dann

Mehr

Visualisierung eines Step7-Programmes in WinCC

Visualisierung eines Step7-Programmes in WinCC Simatic WinCC - Panel Seite 1 Visualisierung eines Step7-Programmes in WinCC MPI-Bus Projektierungsrechner mit Simatic Manager und WinCC Multi-Panel Simatic 300 MPI-Bus Reelle Einund Ausgänge Simatic WinCC

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr