Embedded Systems

Größe: px
Ab Seite anzeigen:

Download "Embedded Systems"

Transkript

1 Embedded Systems 1 Embedded Systems I Thema am : AVR-Studio, Befehlsstruktur der Atmel-AVR-Familie, Adressierungsarten, Initialisierung der Peripheriemodule, Vorbesprechung Praktikum 1 und 2. Übungsaufgabe ACHTUNG: OHP-Handfolien mitschreiben! Miniprojekt Ulrich Schaarschmidt FH Düsseldorf, WS 2016/17 Literaturhinweise nn: ATMega1284P, Datenblätter der Fa. Atmel / Microchip,doc8059.pdf Nn: AVRxxx.pdf (xxx = ), Atmel Application Notes nn: ATMega16, auch ATMega32, Datenblätter der Fa. Atmel / Microchip, Prof. Dr. U.G. Schaarschmidt 2 FH Düsseldorf (c) U.Schaarschmidt 1

2 Embedded Systems 1 Anmerkungen zum Praktikum Der C-Compiler (WinAVR) ist im AVR- Studio integriert. D.h., dass der Quellcode direkt in einem Editor-Fenster des AVR- Studios eingegeben werden kann. Der eigentliche Programmablauf zur Erledigung von Aufgaben muss vom Software-Ingenieur vorher überlegt werden. Prof. Dr. U.G. Schaarschmidt 3 Programmierung für MCUs Muss der Programmierer mehr wissen als bei der PC-Programmierung? Direkter an der Hardware als beim PC, Ein-/Ausgabe-Bausteine müssen initialisiert werden (damit ein Treiber für ein I/O-Beinchen weiß, ob er z:b. Input oder Output in verschiedenen Spielarten sein soll); Prof. Dr. U.G. Schaarschmidt 4 FH Düsseldorf (c) U.Schaarschmidt 2

3 Embedded Systems 1 Programmierung für MCUs Was ist modulare Programmierung? Polling - was ist das? Was sind Interrupts und muss man Angst davor haben? Zeitschleifen oder Timer/Counter-Module? Prof. Dr. U.G. Schaarschmidt 5 ATMEGA1284P -Architektur Quelle: doc8059.pdf Prof. Dr. U.G. Schaarschmidt 6 FH Düsseldorf (c) U.Schaarschmidt 3

4 Embedded Systems 1 Blockdiagramm ATMEGA Prof. Dr. U.G. Schaarschmidt 7 Übersicht Memory Maps Quelle: doc8059.pdf Prof. Dr. U.G. Schaarschmidt 8 FH Düsseldorf (c) U.Schaarschmidt 4

5 Embedded Systems 1 Universal-Arbeitsregister des AVR Quelle: doc8059.pdf Prof. Dr. U.G. Schaarschmidt 9 Die Ports Ein-/ Ausgabemöglichkeiten Port A (PA7:PA0) Port A serves as analog inputs to the Analog-to-digital Converter. Port A also serves as an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The Port A output buffers have symmetrical drive characteristics with both high sink and source capability. As inputs, Port A pins that are externally pulled low will source current if the pull-up resistors are activated. The Port A pins are tri-stated when a reset condition becomes active, even if the clock is not running. Port A also serves the functions of various special features of the ATmega1284P as listed on page 78 [doc8059.pdf]. Prof. Dr. U.G. Schaarschmidt 10 FH Düsseldorf (c) U.Schaarschmidt 5

6 Embedded Systems 1 Die Ports Ein-/ Ausgabemöglichkeiten Port B (PB7:PB0), Port C (PC7:PC0), Port D (PD7:PD0) Port B is an 8-bit bi-directional I/O port with internal pullup resistors (selected for each bit). The Port B output buffers have symmetrical drive characteristics with both high sink and source capability. As inputs, Port B pins that are externally pulled low will source current if the pull-up resistors are activated. The Port B pins are tri-stated when a reset condition becomes active, even if the clock is not running. Port B also serves the functions of various special features of the ATmega1284P as listed on page 80 [doc8059.pdf]. Prof. Dr. U.G. Schaarschmidt 11 Ein- / Ausgabe ganz nah Prof. Dr. U.G. Schaarschmidt 12 FH Düsseldorf (c) U.Schaarschmidt 6

7 Embedded Systems 1 General digitale I/O Prof. Dr. U.G. Schaarschmidt 13 Wieso Datenrichtungsregister? Each port pin consists of three register bits: DDxn, PORTxn, and PINxn. As shown in Register Description on page 90 [doc8059.pdf], the DDxn bits are accessed at the DDRx I/O address, the PORTxn bits at the PORTx I/O address, and the PINxn bits at the PINx I/O address. The DDxn bit in the DDRx Register selects the direction of this pin. If DDxn is written logic one, Pxn is configured as an output pin. If DDxn is written logic zero, Pxn is configured as an input pin. If PORTxn is written logic one when the pin is configured as an input pin, the pull-up resistor is activated. To switch the pull-up resistor off, PORTxn has to be written logic zero or the pin has to be configured as an output pin. The port pins are tri-stated when reset condition becomes active, even if no clocks are running. If PORTxn is written logic one when the pin is configured as an output pin, the port pin is driven high (one). If PORTxn is written logic zero when the pin is configured as an output pin, the port pin is driven low (zero). Prof. Dr. U.G. Schaarschmidt 14 FH Düsseldorf (c) U.Schaarschmidt 7

8 Embedded Systems 1 PullUp was ist das nun wieder? MCUCR MCU Control Register Note: 1. Only available in the Atmel ATmega164PA/324PA/644PA/1284P. Bit 4 PUD: Pull-up Disable When this bit is written to one, the pull-ups in the I/O ports are disabled even if the DDxn and PORTxn Registers are configured to enable the pull-ups ({DDxn, PORTxn} = 0b01). See Configuring the Pin on page 73 for more details about this feature. Prof. Dr. U.G. Schaarschmidt 15 Und was ist mit Low Active? Heißt: Eine logische 1 an einem Portbit (z.b. PORTA3) ausgegeben erzeugt auf dem STK500 eine dunkle LED. Eine logische 0 an einem Portbit (z.b. PORTA3) ausgegeben erzeugt auf dem STK500 eine leuchtende LED. Dies ist für belastete Ausgänge eine übliche Schaltmethode, da die Ausgangsschaltung mehr Strom gegen Masse abführen kann als Strom zu liefern. Prof. Dr. U.G. Schaarschmidt 16 FH Düsseldorf (c) U.Schaarschmidt 8

9 Embedded Systems 1 Verschieden Register, nur um einen Port zu beschicken Each port pin consists of three register bits: DDxn, PORTxn, and PINxn. As shown in Register Description on page 90, the DDxn bits are accessed at the DDRx I/O address, the PORTxn bitsat the PORTx I/O address, and the PINxn bits at the PINx I/O address. The DDxn bit in the DDRx Register selects the direction of this pin. If DDxn is written logic one,pxn is configured as an output pin. If DDxn is written logic zero, Pxn is configured as an input pin. If PORTxn is written logic one when the pin is configured as an input pin, the pull-up resistor is activated. To switch the pull-up resistor off, PORTxn has to be written logic zero or the pin has to be configured as an output pin. The port pins are tri-stated when reset condition becomes active, even if no clocks are running. If PORTxn is written logic one when the pin is configured as an output pin, the port pin is driven high (one). If PORTxn is written logic zero when the pin is configured as an output pin, the port pin is driven low (zero). Prof. Dr. U.G. Schaarschmidt 17 Und diese Ports muss man für eine Aus- oder Eingabe bearbeiten Prof. Dr. U.G. Schaarschmidt 18 FH Düsseldorf (c) U.Schaarschmidt 9

10 Embedded Systems 1 Nicht alle (aber fast alle) Befehle funktionieren auf allen Registern All the register operating instructions in the instruction set have direct and single-cycle access to all registers. The only exception are the five constant arithmetic and logic instructions SBCI, SUBI, CPI, ANDI and ORI between a constant and a register and the LDI instruction for load immediate constant data. These instructions apply to the second half of the registers in the register file (R16..R31). The general SBC, SUB, CP, AND and OR and all other operations between two registers or on a single register apply to the entire register file. Prof. Dr. U.G. Schaarschmidt 19 Register als doppelt lange Indesxregister nutzen The registers R26..R31 have some added functions to their general-purpose usage. These registers are address pointers for indirect addressing of the Data Space. The three indirect address registers X, Y, and Z are defined as: Prof. Dr. U.G. Schaarschmidt 20 FH Düsseldorf (c) U.Schaarschmidt 10

11 Embedded Systems 1 Die Pointer- (Zeiger-) Register X, Y und Z Prof. Dr. U.G. Schaarschmidt 21 Register und SRAM The AT90S8515 contains 8K bytes On-chip In- System Programmable Flash memory for program storage. Since all instructions are 16- or 32-bit words, the Flash is organized as 4K x 16. The Flash memory has an endurance of at least 1000 write/erase cycles. The AT90S8515 Program Counter (PC) is 12 bits wide, thus addressing the 4096 program memory addresses. Prof. Dr. U.G. Schaarschmidt 22 FH Düsseldorf (c) U.Schaarschmidt 11

12 Embedded Systems 1 Speicheraufteilung The lower 608 data memory locations address the Register file, the I/O memory and the internal data SRAM. The first 96 locations address the Register file + I/O memory, and the next 512 locations address the internal data SRAM. An optional external data SRAM can be placed in the same SRAM memory space. This SRAM will occupy the location following the internal SRAM and up to as much as 64K - 1, depending on SRAM size. Prof. Dr. U.G. Schaarschmidt 23 Internes und externes SRAM Accessing external SRAM takes one additional clock cycle per byte compared to access of the internal SRAM. This means that the commands LD, ST, LDS, STS, PUSH and POP take one additional clock cycle. If the stack is placed in external SRAM, interrupts, subroutine calls and returns take two clock cycles extra because the 2-byte program counter is pushed and popped. When external SRAM interface is used with wait state, two additional clock cycles is used per byte. This has the following effect: Data transfer instructions take two extra clock cycles, whereas interrupt, subroutine calls and returns will need four clock cycles more than specified in the instruction set manual. Prof. Dr. U.G. Schaarschmidt 24 FH Düsseldorf (c) U.Schaarschmidt 12

13 Embedded Systems 1 Organisation des SRAM Prof. Dr. U.G. Schaarschmidt 25 Adressierungsarten 1 OP = Operationscode-Anteil des Befehlswortes, der Operand befindet sich in Register d Prof. Dr. U.G. Schaarschmidt 26 FH Düsseldorf (c) U.Schaarschmidt 13

14 Embedded Systems 1 Adressierungsarten 2 OP = Operationscode-Anteil des Befehlswortes, die Operanden befinden sich in den Registern Rr und Rd, Das Ergebnis wir in Register Rd abgelegt. Prof. Dr. U.G. Schaarschmidt 27 Adressierungsarten 3 OP = Operationscode-Anteil des Befehlswortes, n bezeichnet das Register mit dem Byte, das auszugeben ist, p sind die 6 Bits des Befehlswortes, die den I/O-Port adressieren. Prof. Dr. U.G. Schaarschmidt 28 FH Düsseldorf (c) U.Schaarschmidt 14

15 Embedded Systems 1 Adressierungsarten 4 OP = Operationscode-Anteil des Befehlswortes, Die 16-Bit-Datenadresse befindet sich im unteren Wort der 2-Wort Instruktion. Rr bzw. Rd bezeichnen das Quell- oder Ziel- Register. Prof. Dr. U.G. Schaarschmidt 29 Adressierungsarten 5 OP = Operationscode-Anteil des Befehlswortes, Die Adresse des Operanden ist das Ergebnis der Addition des Y- oder Z-Registers mit dem 6-Bit-Displacement (a) aus dem Befehlswort. Prof. Dr. U.G. Schaarschmidt 30 FH Düsseldorf (c) U.Schaarschmidt 15

16 Embedded Systems 1 Adressierungsarten 6 OP = Operationscode-Anteil des Befehlswortes, Die Adresse des Operanden befindet sich im X-, Y-, oder Z- Register. Prof. Dr. U.G. Schaarschmidt 31 Adressierungsarten 7 OP = Operationscode-Anteil des Befehlswortes, Das X-, Y- oder Z-Register wird vor der Operation dekrementiert und Die dann als Zeiger (Pointer) auf den Operanden. Prof. Dr. U.G. Schaarschmidt 32 FH Düsseldorf (c) U.Schaarschmidt 16

17 Embedded Systems 1 Adressierungsarten 8 OP = Operationscode-Anteil des Befehlswortes, Das X-, Y- oder Z-Register dient als Zeiger (Pointer) auf den Operanden wird nach der Operation inkrementiert. Prof. Dr. U.G. Schaarschmidt 33 Adressierungsarten 9 Adressierung einer Konstante mittels LPM-Befehl. OP = Operationscode-Anteil des Befehlswortes, Das Z-Register dient als Zeiger (Pointer) auf eine Konstante im Programmspeicher, wobei das LSB zur Selektion des oberen (LSB=1) bzw. unteren (LSB=0) Konstanten-Bytes benutzt wird.. Prof. Dr. U.G. Schaarschmidt 34 FH Düsseldorf (c) U.Schaarschmidt 17

18 Embedded Systems 1 Adressierungsarten 10 Indirekte Adressierung des Programmes für IJMP und ICALL. OP = Operationscode-Anteil des Befehlswortes, Das Programm wird an der Adresse fortgeführt, auf die das Z-Register (Zeiger = Pointer) zeigt. D.h. der PC wird mit dem Inhalt von Z geladen. Prof. Dr. U.G. Schaarschmidt 35 Adressierungsarten 11 Relative Adressierung im Programm mit den Befehlen RJMP und RCALL. OP = Operationscode - Anteil des Befehlswortes, k = relativer Anteil der Sprungadresse, die aus dem PC plus 1 gebildet wird. Das Programm wird an der Adresse PC + k +1 fortgeführt. Die relative Adresse k reicht, Dank der 12 Bits von bis Prof. Dr. U.G. Schaarschmidt 36 FH Düsseldorf (c) U.Schaarschmidt 18

19 Embedded Systems 1 Miniprojekt (auf Handfolien): Toilettenlüftersteuerung Startet ca. 30 Sekunden nach dem Lichteinschalten, Läuft nach dem Lichtauschalten noch 60 Sekunden weiter. -> Zeitschleifen, -> Timer/Counter, -> T/C mit Interrupt; Prof. Dr. U.G. Schaarschmidt 37 Übungsaufgabe 2 Nachdem Sie im Praktikum 1b über die Zeitverhältnisse von Prozessor auf dem STK500 und der AVR-Studio-Simulation gestolpert sind (nachgedacht haben), überlegen Sie, wie eine Ampelschaltung (Praktikum 2) ablaufen könnte. Zeichnen Sie hierzu ein Ablaufdiagramm bzw. Nassi-Schneider-Diagramm! Schreiben Sie das Programm in C! Prof. Dr. U.G. Schaarschmidt 38 FH Düsseldorf (c) U.Schaarschmidt 19

20 Embedded Systems 1 Übung zum Praktikum 2 vorbereiten Im Praktikum Nr. 2 wird eine Strassenkreuzung (Bild nächste Folie) an das STK500 angeschlossen (weitere Details Praktikumszettel). Überlegen Sie Timerstrategien hierzu und bereiten Sie die Programmierung der Timer- /Counter-Register vor! Zuerst nur die Kreuzung, dann der Fussgängerüberweg mit Anforderungstaster (mit der Hauptkreuzung synchronisieren). Prof. Dr. U.G. Schaarschmidt 39 Übungsaufgabe 2 Prof. Dr. U.G. Schaarschmidt 40 FH Düsseldorf (c) U.Schaarschmidt 20

21 Embedded Systems 1 Ampelphasen Hauptstrecke Nebenstrecke Prof. Dr. U.G. Schaarschmidt 41 Übungsaufgabe Welche Funktionen / Definitionen der io.h und der Stdint.h nutzen Sie für die Ampelsteuerung? Bereiten Sie die Ansteuerung der A-D-Wandler- Programmierung vor. Suchen Sie die Konfigurationsbits für das AD-Wandlerpraktikum heraus und packen diese in entsprechende (C-) Konstante, die Sie dann in Ihrem Programm einsetzen können! Prof. Dr. U.G. Schaarschmidt 42 FH Düsseldorf (c) U.Schaarschmidt 21

Embedded Systems

Embedded Systems Embedded Systems I Themen am 18.10.2016 (ES1_16_V3): Timer / Counter Programmierung (Musterlösungen mit und ohne Interrupt), Application Note AVR130 / Atmega8535 Interrupts Unterbrechungsanforderungen

Mehr

Use of the LPM (Load Program Memory)

Use of the LPM (Load Program Memory) Use of the LPM (Load Program Memory) Use of the LPM (Load Program Memory) Instruction with the AVR Assembler Load Constants from Program Memory Use of Lookup Tables The LPM instruction is included in the

Mehr

Vorlesung HSMT (MRT - Teil) ab WS2011/2012

Vorlesung HSMT (MRT - Teil) ab WS2011/2012 HSMT / (MRT Teil) 27.10.11 Praktikum / Vorlesung / Dipl.Arbeiten Stephan Zielinski Horbacherstr. 116c Tel. 0241 6009 52302 Labor Goethestr, 52072 Aachen 0241 6009 52129 Büro Eupenerstr. Tel. 174173 Fax

Mehr

05. Assembler-Programmierung. Datenstrukturen des ATMega32. Literatur

05. Assembler-Programmierung. Datenstrukturen des ATMega32. Literatur 0. Assembler-Programmierung Datenstrukturen des ATMega32 Literatur mikrocontroller.net avr-asm-tutorial.net asm Alles über AVR AVR-Assembler-Einführung Assembler AVR-Aufbau, Register, Befehle 2008: ouravr.com/attachment/microschematic/index.swf

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Embedded Systems

Embedded Systems Embedded Systems 1 Embedded Systems I Themen am (ES1_16_V8): Anmerkungen zu den Xmegas, Tiefergehende Details zur AVR-Familie, Bearbeitung von Problemen aus dem Praktikum Beachten Sie bitte die zusätzlichen

Mehr

Parallel-IO. Ports am ATmega128

Parallel-IO. Ports am ATmega128 Parallel-IO Ansteuerung Miniprojekt Lauflicht Ports am ATmega128 PortE (PE7...PE0) alternativ, z.b. USART0 (RS232) 1 Pin von PortC Port C (PC7...PC0) 1 Parallel-IO-Port "Sammelsurium" verschiedener Speicher

Mehr

Einführung in AVR-Assembler

Einführung in AVR-Assembler Einführung in AVR-Assembler Easterhack 2008 Chaos Computer Club Cologne Stefan Schürmans, BlinkenArea stefan@blinkenarea.org Version 1.0.4 Easterhack 2008 Einführung in AVR-Assembler 1 Inhalt Vorstellung

Mehr

Microcontroller VU Exam 1 (Programming)

Microcontroller VU Exam 1 (Programming) Microcontroller VU 182.694 Exam 1 (Programming) Familienname/Surname: Vorname/First name: MatrNr/MatrNo: Unterschrift/Signature: Vom Betreuer auszufullen/to be lled in by supervisor Funktioniert? Kommentar

Mehr

Assembler (NASM) Crashkurs von Sönke Schmidt

Assembler (NASM) Crashkurs von Sönke Schmidt Sönke Schmidt (NASM) Crashkurs von Sönke Schmidt Berlin, 4.11.2015 Meine Webseite: http://www.soenke-berlin.de NASM Was ist das? nach Wikipedia: Ein ist ein Programmierwerkzeug, das ein in maschinennaher

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Embedded Systems

Embedded Systems Embedded Systems I Themen am 17.01.2017: Achtung: Praktikumsversuche und Übungen!!! Punktebewertung und Aufbau der Klausur; Ideen zur Klausur Bitte OHP-/Tafel-Notizen selbst mitschreiben! ES1_16_V13 Ulrich

Mehr

Atmel AVR für Dummies

Atmel AVR für Dummies Atmel AVR für Dummies fd0@koeln.ccc.de 29.12.2005 Übersicht 1 Hardware Kurzvorstellung Atmega8 Programmierkabel (Eigenbau vs. Kommerzlösung) Alternative: Bootloader (Programmieren via rs232) Software Speicher

Mehr

Tube Analyzer LogViewer 2.3

Tube Analyzer LogViewer 2.3 Tube Analyzer LogViewer 2.3 User Manual Stand: 25.9.2015 Seite 1 von 11 Name Company Date Designed by WKS 28.02.2013 1 st Checker 2 nd Checker Version history Version Author Changes Date 1.0 Created 19.06.2015

Mehr

U5-2 Register beim AVR-µC

U5-2 Register beim AVR-µC U5 4. Übungsaufgabe U5 4. Übungsaufgabe U5-2 Register beim AVR-µC U5-2 Register beim AVR-mC Grundlegendes zur Übung mit dem AVR-µC 1 Überblick Register Beim AVR µc sind die Register: I/O Ports Interrupts

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr

Prinzipien und Komponenten eingebetteter Systeme

Prinzipien und Komponenten eingebetteter Systeme 1 Prinzipen und Komponenten Eingebetteter Systeme (PKES) (2) Mikrocontroller I Sebastian Zug Arbeitsgruppe: Embedded Smart Systems 2 Veranstaltungslandkarte Fehlertoleranz, Softwareentwicklung Mikrocontroller

Mehr

MATLAB driver for Spectrum boards

MATLAB driver for Spectrum boards MATLAB driver for Spectrum boards User Manual deutsch/english SPECTRUM SYSTEMENTWICKLUNG MICROELECTRONIC GMBH AHRENSFELDER WEG 13-17 22927 GROSSHANSDORF GERMANY TEL.: +49 (0)4102-6956-0 FAX: +49 (0)4102-6956-66

Mehr

Embedded Systems

Embedded Systems Embedded Systems I Themen am 25.10.16 (ES1_16_V4): Wie funktionieren A/D- und D/A-Wandler?; Übung 3: Analog Digital-Wandler... Wandlungs-Prinzipien Register-Auswahl und Initialisierung für Praktikum 4,

Mehr

Teil III: Wat macht ene Mikrokontroller?

Teil III: Wat macht ene Mikrokontroller? Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil III: Wat macht ene Mikrokontroller?

Mehr

auf differentiellen Leitungen

auf differentiellen Leitungen Eingebettete Taktübertragung auf differentiellen Leitungen Johannes Reichart Kleinheubacher Tagung Miltenberg, 28.09.2009 Institut für Prof. Elektrische Dr.-Ing. und Optische Manfred Nachrichtentechnik

Mehr

Word-CRM-Upload-Button. User manual

Word-CRM-Upload-Button. User manual Word-CRM-Upload-Button User manual Word-CRM-Upload for MS CRM 2011 Content 1. Preface... 3 2. Installation... 4 2.1. Requirements... 4 2.1.1. Clients... 4 2.2. Installation guidelines... 5 2.2.1. Client...

Mehr

GdI2 - Systemnahe Programmierung in C Übungen Jürgen Kleinöder Universität Erlangen-Nürnberg Informatik 4, 2006 U4.fm

GdI2 - Systemnahe Programmierung in C Übungen Jürgen Kleinöder Universität Erlangen-Nürnberg Informatik 4, 2006 U4.fm U4 4. Übungsaufgabe U4 4. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-µC Register I/O Ports Interrupts AVR-Umgebung U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Teil 4 Chipgehäuse, elektrische Eigenschaften, Takt

Teil 4 Chipgehäuse, elektrische Eigenschaften, Takt Teil 4 Chipgehäuse, elektrische Eigenschaften, Takt 4.1. Begriffsdefinitionen 4.2. Chipgehäuse 4.3. Elektrische Eigenschaften, Grenzwerte 4.4. Takt Teil 4 Chipgehäuse, elektrische Eigenschaften, Takt 1

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

RS232-Verbindung, RXU10 Herstellen einer RS232-Verbindung zwischen PC und Messgerät oder Modem und Messgerät

RS232-Verbindung, RXU10 Herstellen einer RS232-Verbindung zwischen PC und Messgerät oder Modem und Messgerät Betriebsanleitung RS232-Verbindung, RXU10 Herstellen einer RS232-Verbindung zwischen PC und Messgerät oder Modem und Messgerät ä 2 Operating Instructions RS232 Connection, RXU10 Setting up an RS232 connection

Mehr

ProcessorsTechnik Labor LCD-Uhr

ProcessorsTechnik Labor LCD-Uhr ProcessorsTechnik Labor LCD-Uhr Xu,Zhen 20465719 Aufgabe Beschreibung:LCD-Uhr HardWare :LCD161A. (4B.8B Dataverarbeiten ). Clock Chip:KS0066U Programmieren Sprache :Assemble LCD1602 PIN definieren : Pin

Mehr

Digital-Analog-Konverter (DAC)

Digital-Analog-Konverter (DAC) . Digital-Analog-Konverter (DAC) Problem: geringe Abweichung der Übertragungselemente von der Idealität führt durch Fehlerfortpflanzung zu großem Fehler analoge Technik: Minimierung der Fehler digitale

Mehr

UNIGATE CL Konfiguration mit WINGATE

UNIGATE CL Konfiguration mit WINGATE UNIGATE CL Konfiguration mit WINGATE - UNIGATE CL Configuration via WINGATE Art.-Nr.: V3928 Deutschmann Automation GmbH & Co. KG Carl-Zeiss-Str. 8 D-65520 Bad Camberg Phone: +49-(0)6434-9433-0 Hotline:

Mehr

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R RR-P-508 / ST106-EX1 motor-control unit

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R RR-P-508 / ST106-EX1 motor-control unit R&R R&R RR-P-08 / ST06-EX motor-control unit housing wxhxd weight application power supply aluminum anodized approx. 6 x 8 x 8 mm housing similar to ST0 drawing DNR967 approx. 80 g motor desk control unit

Mehr

11: Echtzeitbetriebssystem ucos-ii

11: Echtzeitbetriebssystem ucos-ii 11: Echtzeitbetriebssystem ucos-ii Sie lernen anhand aufeinander aufbauender Übungen, welche Möglichkeiten ein Echtzeitbetriebssystem wie das ucosii bietet und wie sich damit MC-Applikationen realisieren

Mehr

Overview thermostat/ temperature controller

Overview thermostat/ temperature controller Thermostat TR-238 The Thermostat TR-238 is a electronic two-level controller for controlling of and in climate control units and vehicles. Voltage range (12V): Voltage range (24V): Control range: Hystereses:

Mehr

Eingebettete Taktübertragung auf Speicherbussen

Eingebettete Taktübertragung auf Speicherbussen Eingebettete Taktübertragung auf Speicherbussen Johannes Reichart Workshop Hochgeschwindigkeitsschnittstellen Stuttgart, 07.11.2008 Unterstützt durch: Qimonda AG, München Institut für Prof. Elektrische

Mehr

Ansteuerung eines LCD-Screens

Ansteuerung eines LCD-Screens Ansteuerung eines LCD-Screens Marcel Meinersen 4. Mai 2013 Marcel Meinersen Ansteuerung eines LCD-Screens 4. Mai 2013 1 / 27 Inhaltsverzeichnis 1 Allgemeines Was ist ein LCD-Screen? LCD 162C LED Marcel

Mehr

TomTom WEBFLEET Tachograph

TomTom WEBFLEET Tachograph TomTom WEBFLEET Tachograph Installation TG, 17.06.2013 Terms & Conditions Customers can sign-up for WEBFLEET Tachograph Management using the additional services form. Remote download Price: NAT: 9,90.-/EU:

Mehr

Das Modul kann thermische oder 3-stufige Aktoren regeln, wie auch vier 0-10 VDC analoge Ausgänge.

Das Modul kann thermische oder 3-stufige Aktoren regeln, wie auch vier 0-10 VDC analoge Ausgänge. Das ist ein I/O Modul für Modbus, das vier Ni1000-LG Eingänge oder vier Digitaleingänge lesen kann. Jeder individuelle Eingang kann so eingestellt werden, das er als analoger oder digitaler Eingang arbeitet.

Mehr

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen QuickStart Guide to read a transponder with a scemtec TT reader and software UniDemo Voraussetzung: - PC mit der

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

~10 RESET 3.3V 5V GND GND VIN

~10 RESET 3.3V 5V GND GND VIN Abgabe Dieses Übungsblatt ist bis Freitag, 09.05. um 12:00 per E-Mail an den eigenen Tutoren abzugeben. Bennennung beispielsweise $Matrikelnummer_Abgabe_$Blattnummer.$Format. 1 Automatische Ampelsteuerung

Mehr

Einführung in AVR Assembler

Einführung in AVR Assembler Einführung in AVR Assembler Dennis Fassbender Institut für Technik Autonomer Systeme (LRT8) Universität der Bundeswehr München 09042014 Was ist Assembler? Low-level-Programmiersprache Erlaubt direkten

Mehr

Fundamentals of Electrical Engineering 1 Grundlagen der Elektrotechnik 1

Fundamentals of Electrical Engineering 1 Grundlagen der Elektrotechnik 1 Fundamentals of Electrical Engineering 1 Grundlagen der Elektrotechnik 1 Chapter: Operational Amplifiers / Operationsverstärker Michael E. Auer Source of figures: Alexander/Sadiku: Fundamentals of Electric

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

Motion Controller 2 - MC2

Motion Controller 2 - MC2 otion ler 2 - C2 otion ler C2 The C2 (otion ler) is the connective link between a higher-ranking control level (PLC, IPC etc.) and one or more SIEB & EYER drives (series SD2/SD2S and FC2). It receives

Mehr

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs Programmieren 9.1.11 Microcontroller Kurs/Johannes Fuchs 1 General Purpose Input Output (GPIO) Jeder der Pins der vier I/O Ports kann als Eingabe- oder Ausgabe-leitung benutzt werden.

Mehr

Symbio system requirements. Version 5.1

Symbio system requirements. Version 5.1 Symbio system requirements Version 5.1 From: January 2016 2016 Ploetz + Zeller GmbH Symbio system requirements 2 Content 1 Symbio Web... 3 1.1 Overview... 3 1.1.1 Single server installation... 3 1.1.2

Mehr

Übungen zu Systemnahe Programmierung in C (SPiC)

Übungen zu Systemnahe Programmierung in C (SPiC) Übungen zu Systemnahe Programmierung in C (SPiC) Sebastian Maier (Lehrstuhl Informatik 4) Übung 4 Sommersemester 2016 Inhalt Module Schnittstellenbeschreibung Ablauf vom Quellcode zum laufenden Programm

Mehr

ONLINE LICENCE GENERATOR

ONLINE LICENCE GENERATOR Index Introduction... 2 Change language of the User Interface... 3 Menubar... 4 Sold Software... 5 Explanations of the choices:... 5 Call of a licence:... 7 Last query step... 9 Call multiple licenses:...

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

p^db=`oj===pìééçêíáåñçêã~íáçå=

p^db=`oj===pìééçêíáåñçêã~íáçå= p^db=`oj===pìééçêíáåñçêã~íáçå= How to Disable User Account Control (UAC) in Windows Vista You are attempting to install or uninstall ACT! when Windows does not allow you access to needed files or folders.

Mehr

Technische Information Stand : 08 / 2016

Technische Information Stand : 08 / 2016 Technische Information Stand : 08 / 2016 praxisorientierter handlicher Stromschleifen- Simulator und -Kalibrator UPS II - für die Messung und Simulation von 0 ( 4 )... 20 ( 21 ) ma, max. Bürde : 900 Ω

Mehr

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems Mitglied der Zürcher Fachhochschule TIn 1: Lecture 4 Data transfer Feedback Laboratories Question: What is the IP? Why do we NEED an IP? Lecture 3: Lernziele Moving data, the why s and wherefores Moving

Mehr

Neues vom STRIP Forth-Prozessor

Neues vom STRIP Forth-Prozessor Neues vom STRIP Forth-Prozessor Tagung der Forth-Gesellschaft März 2014 Bad Vöslau/Österreich Willi Stricker -Prozessor Inhalt 1. STRIP-Befehlssatz Bisher: minimaler Befehlssatz neu: erweiterter Befehlssatz

Mehr

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Technische Daten 16-Bit RISC Architektur bis zu 16 Mhz Vcc: 1,8-3,6V 64 KB FRAM 2 KB SRAM 7 Schlafmodi 5 16-Bit

Mehr

Mock Exam Behavioral Finance

Mock Exam Behavioral Finance Mock Exam Behavioral Finance For the following 4 questions you have 60 minutes. You may receive up to 60 points, i.e. on average you should spend about 1 minute per point. Please note: You may use a pocket

Mehr

Autonome Mobile Systeme. Dr. Stefan Enderle

Autonome Mobile Systeme. Dr. Stefan Enderle Autonome Mobile Systeme Dr. Stefan Enderle 2. Mikrocontroller Einleitung Unterschied Controller / Prozessor: Speicher (RAM, Flash, Eprom) intern Viele I/Os (Digital, Analog) Bus-Unterstützung (Seriell,

Mehr

iid software tools QuickStartGuide iid USB base driver installation

iid software tools QuickStartGuide iid USB base driver installation iid software tools QuickStartGuide iid software tools USB base driver installation microsensys Nov 2016 Introduction / Einleitung This document describes in short form installation of the microsensys USB

Mehr

DPM_flowcharts.doc Page F-1 of 9 Rüdiger Siol :28

DPM_flowcharts.doc Page F-1 of 9 Rüdiger Siol :28 Contents F TOOLS TO SUPPORT THE DOCUMENTATION... F-2 F.1 GRAPHIC SYMBOLS AND THEIR APPLICATION (DIN 66 001)... F-2 F.1.1 Flow of control... F-3 F.1.2 Terminators and connectors... F-4 F.1.3 Lines, arrows

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

Instruktionssatz-Architektur

Instruktionssatz-Architektur Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Übersicht 1 Einleitung 2 Bestandteile der ISA 3 CISC / RISC Übersicht 1 Einleitung 2 Bestandteile

Mehr

VGM. VGM information. HAMBURG SÜD VGM WEB PORTAL USER GUIDE June 2016

VGM. VGM information. HAMBURG SÜD VGM WEB PORTAL USER GUIDE June 2016 Overview The Hamburg Süd VGM Web portal is an application that enables you to submit VGM information directly to Hamburg Süd via our e-portal Web page. You can choose to enter VGM information directly,

Mehr

Creating OpenSocial Gadgets. Bastian Hofmann

Creating OpenSocial Gadgets. Bastian Hofmann Creating OpenSocial Gadgets Bastian Hofmann Agenda Part 1: Theory What is a Gadget? What is OpenSocial? Privacy at VZ-Netzwerke OpenSocial Services OpenSocial without Gadgets - The Rest API Part 2: Practical

Mehr

GL module Master Time Code, Timer and Time generator (LTC)

GL module Master Time Code, Timer and Time generator (LTC) GL module Master Time Code, Timer and Time generator (LTC) Features Generate LTC Convert TC_link to LTC Synchronize to Blackburst Synchronize to seconds pulses Control monitor ready MTD reader compatible

Mehr

UWC 8801 / 8802 / 8803

UWC 8801 / 8802 / 8803 Wandbedieneinheit Wall Panel UWC 8801 / 8802 / 8803 Bedienungsanleitung User Manual BDA V130601DE UWC 8801 Wandbedieneinheit Anschluss Vor dem Anschluss ist der UMM 8800 unbedingt auszuschalten. Die Übertragung

Mehr

!!!Hier bezogen auf den ucontroller AT89C5131!!!

!!!Hier bezogen auf den ucontroller AT89C5131!!! SPI Serial Peripheral Interface (SPI)!!!Hier bezogen auf den ucontroller AT89C5131!!! Drei gemeinsame Leitungen, an denen jeder Teilnehmer angeschlossen ist: SDO (Serial Data Out) bzw. MISO (Master in

Mehr

Level 1 German, 2014

Level 1 German, 2014 90886 908860 1SUPERVISOR S Level 1 German, 2014 90886 Demonstrate understanding of a variety of German texts on areas of most immediate relevance 9.30 am Wednesday 26 November 2014 Credits: Five Achievement

Mehr

EtherNet/IP Topology and Engineering MPx06/07/08VRS

EtherNet/IP Topology and Engineering MPx06/07/08VRS EtherNet/IP Topology and Engineering MPx06/07/08VRS 3 1. Engineering via free EtherNet/IPTM-Port of a device on Bus from MPx07V10 2. Engineering via optional Industrial-Ethernet-Switch 3. Engineering via

Mehr

Getting started with MillPlus IT V530 Winshape

Getting started with MillPlus IT V530 Winshape Getting started with MillPlus IT V530 Winshape Table of contents: Deutsche Bedienungshinweise zur MillPlus IT V530 Programmierplatz... 3 English user directions to the MillPlus IT V530 Programming Station...

Mehr

Level 2 German, 2013

Level 2 German, 2013 91126 911260 2SUPERVISOR S Level 2 German, 2013 91126 Demonstrate understanding of a variety of written and / or visual German text(s) on familiar matters 9.30 am Monday 11 November 2013 Credits: Five

Mehr

Werbemittel-Spezifikationen

Werbemittel-Spezifikationen Werbemittel-Spezifikationen Ein Angebot der Inhalt Allgemeines Seite 3 Allgemeine Flash-Spezifikationen Seite 4 Flash Functions for Tracking Seite 5 Flash Functions for Expandable Banners Seite 8 Flash

Mehr

Mul$media im Netz (Online Mul$media) Wintersemester 2014/15. Übung 02 (Nebenfach)

Mul$media im Netz (Online Mul$media) Wintersemester 2014/15. Übung 02 (Nebenfach) Mul$media im Netz (Online Mul$media) Wintersemester 2014/15 Übung 02 (Nebenfach) Mul=media im Netz WS 2014/15 - Übung 2-1 Organiza$on: Language Mul=ple requests for English Slides Tutorial s=ll held in

Mehr

Prozessoren für mobile und. eingebettete Systeme II: Die AVR-Architektur. EMES: Eigenschaften mobiler und eingebetteter Systeme

Prozessoren für mobile und. eingebettete Systeme II: Die AVR-Architektur. EMES: Eigenschaften mobiler und eingebetteter Systeme EMES: Eigenschaften mobiler und eingebetteter Systeme Prozessoren für mobile und 00101111010010011101001010101 eingebettete Systeme II: Die AVR-Architektur Dipl. Inf. Jan Richling Wintersemester 2004/2005

Mehr

Fleet Manager 100 Bedienungsanleitung User Manual Hardware

Fleet Manager 100 Bedienungsanleitung User Manual Hardware Bedienungsanleitung User Manual Hardware 1. Bedienung im Fahrzeug... 2 2. Signale des Bordcomputers (LED, Summer)... 4 3. Fehlersuche... 5 1. Operation in the vehicle... 7 2. Buzzer codes... 9 3. Troubleshooting...

Mehr

Die AVR Mikrocontrollerfamilie

Die AVR Mikrocontrollerfamilie AKES - Ausgewählte Kapitel eingebetteter Systeme 10. Mai 2006 Übersicht über den Vortrag Was sind AVRs? 2/35 Anwendungsfelder Übersicht über den Vortrag Mikrocontroller 3/35 Kleiner Chip Viel integrierte

Mehr

How-To-Do. Hardware Configuration of the CC03 via SIMATIC Manager from Siemens

How-To-Do. Hardware Configuration of the CC03 via SIMATIC Manager from Siemens How-To-Do Hardware Configuration of the CC03 via SIMATIC Manager from Siemens Content Hardware Configuration of the CC03 via SIMATIC Manager from Siemens... 1 1 General... 2 1.1 Information... 2 1.2 Reference...

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

FEBE Die Frontend-Backend-Lösung für Excel

FEBE Die Frontend-Backend-Lösung für Excel FEBE Die Frontend--Lösung für FEBE Die Frontend--Lösung für FEBE.pptx 8.04.206 0:43 FEBE Die Frontend--Lösung für Nutzer A alle_aufträge neuer_auftrag Auftragsänderung Nutzer B alle_aufträge neuer_auftrag

Mehr

BLK-2000. Quick Installation Guide. English. Deutsch

BLK-2000. Quick Installation Guide. English. Deutsch BLK-2000 Quick Installation Guide English Deutsch This guide covers only the most common situations. All detail information is described in the user s manual. English BLK-2000 Quick Installation Guide

Mehr

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH ARM Cortex-M Prozessoren Referat von Peter Voser Embedded Development GmbH SoC (System-on-Chip) www.embedded-development.ch 2 Instruction Sets ARM, Thumb, Thumb-2 32-bit ARM - verbesserte Rechenleistung

Mehr

Kurzanleitung / Quick Start Manual

Kurzanleitung / Quick Start Manual Kurzanleitung / Quick Start Manual Version 1.0 03.11.2016 2 Kurzanleitung / Quick Start Manual WICHTIGE INFORMATION Der von Ihnen erworbene SEEBURG acoustic line Lautsprecher besitzt einen integrierten

Mehr

Routing in WSN Exercise

Routing in WSN Exercise Routing in WSN Exercise Thomas Basmer telefon: 0335 5625 334 fax: 0335 5625 671 e-mail: basmer [ at ] ihp-microelectronics.com web: Outline Routing in general Distance Vector Routing Link State Routing

Mehr

VGM. VGM information. HAMBURG SÜD VGM WEB PORTAL - USER GUIDE June 2016

VGM. VGM information. HAMBURG SÜD VGM WEB PORTAL - USER GUIDE June 2016 Overview The Hamburg Süd VGM-Portal is an application which enables to submit VGM information directly to Hamburg Süd via our e-portal web page. You can choose to insert VGM information directly, or download

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Technische Informatik 2: Addressierung und Befehle

Technische Informatik 2: Addressierung und Befehle Technische Informatik 2: Addressierung und Befehle Memory Map Programm Speicher: Adresse $000-$FFF max. 4096 Byte für kompiliertes Programm Data Memory: Adresse $0000-$FFFF 32 8Bit Register 64 I/O Register

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

TW-75-IP40Trackball. Trackball module of industrial applications, ball diameter 75 mm, degree of protection IP40. Description

TW-75-IP40Trackball. Trackball module of industrial applications, ball diameter 75 mm, degree of protection IP40. Description TW-75-IP40Trackball Trackball module of industrial applications, ball diameter 75 mm, degree of protection IP40 Description Applications: The advantages of this 75mm Trackball for back-panel-mounting are

Mehr

Pressglas-Korrespondenz

Pressglas-Korrespondenz Stand 14.01.2016 PK 2015-3/56 Seite 1 von 5 Seiten Abb. 2015-3/56-01 und Abb. 2015-3/56-02 Vase mit drei Gesichtern: Frau, Mann und Kind, farbloses Pressglas, teilweise mattiert, H 18,8 cm, D 15 cm Vase

Mehr

Wer möchte, kann sein Programm auch auf echter Hardware testen

Wer möchte, kann sein Programm auch auf echter Hardware testen U4 3. Übungsaufgabe U4 3. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-μC Register I/O-Ports AVR-Umgebung Peripherie U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

Presentation of a diagnostic tool for hybrid and module testing

Presentation of a diagnostic tool for hybrid and module testing Presentation of a diagnostic tool for hybrid and module testing RWTH Aachen III. Physikalisches Institut B M.Axer, F.Beißel, C.Camps, V.Commichau, G.Flügge, K.Hangarter, J.Mnich, P.Schorn, R.Schulte, W.

Mehr

PeakTech Zeitprogramm erstellen über Excel - Beispiel / Timed programs with Excel Example

PeakTech Zeitprogramm erstellen über Excel - Beispiel / Timed programs with Excel Example PeakTech 6180 Zeitprogramm erstellen über Excel - Beispiel / Timed programs with Excel Example 1. Excel Datei erstellen / Create Excel file Öffnen Sie Excel und erstellen eine xls-datei unter einem beliebigen

Mehr

Supplementary material for Who never tells a lie? The following material is provided below, in the following order:

Supplementary material for Who never tells a lie? The following material is provided below, in the following order: Supplementary material for Who never tells a lie? The following material is provided below, in the following order: Instructions and questionnaire used in the replication study (German, 2 pages) Instructions

Mehr

Attention: Give your answers to problem 1 and problem 2 directly below the questions in the exam question sheet. ,and C = [ ].

Attention: Give your answers to problem 1 and problem 2 directly below the questions in the exam question sheet. ,and C = [ ]. Page 1 LAST NAME FIRST NAME MATRIKEL-NO. Attention: Give your answers to problem 1 and problem 2 directly below the questions in the exam question sheet. Problem 1 (15 points) a) (1 point) A system description

Mehr

Embedded Systems

Embedded Systems Embedded Systems I Themen am 15.11.16 (ES1_16_V6): AD-C, Analog-Digital-Converter, Grundrechenarten auf der MCU und Umwandlung in verschiedene Daten-Formate, LC-Displays. Beachten Sie bitte die zusätzlichen

Mehr

How-To-Do. Hardware Configuration of the CPU 317NET with external CPs on the SPEED Bus by SIMATIC Manager from Siemens

How-To-Do. Hardware Configuration of the CPU 317NET with external CPs on the SPEED Bus by SIMATIC Manager from Siemens How-To-Do Hardware Configuration of the CPU 317NET with external CPs on the SPEED Bus by SIMATIC Manager from Siemens Content Hardware Configuration of the CPU 317NET with external CPs on the SPEED Bus

Mehr

analoge Ein- und Ausgänge

analoge Ein- und Ausgänge 2016/07/17 13:39 1/5 analoge Ein- und Ausgänge analoge Ein- und Ausgänge Neben den digitalen Leitungen bietet der Arduino mehrere analoge Ein- und Ausgänge. analoge Ausgänge Die Ausgänge sind mit PWM bezeichnet.

Mehr

Worx Landroid - Software Update

Worx Landroid - Software Update Worx Landroid - Software Update WORX Landroid Software Update für Anwender 30.04.2015 Website: www.worxlandroid.com Direct Direkter Link Link for auf the Update: Update: https://www.worxlandroid.com/en/software-update

Mehr

Level 2 German, 2016

Level 2 German, 2016 91126 911260 2SUPERVISOR S Level 2 German, 2016 91126 Demonstrate understanding of a variety of written and / or visual German texts on familiar matters 2.00 p.m. Tuesday 29 November 2016 Credits: Five

Mehr