Flipflops. asynchron: Q t Q t+t

Größe: px
Ab Seite anzeigen:

Download "Flipflops. asynchron: Q t Q t+t"

Transkript

1 Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls der negierte Ausgang Q nicht verwendet wird, wird er im Schaltsymbol nicht eingezeichnet.) Durch die Eingänge wird der interne Zustand des Flipflops verändert. Asynchrone Flipflops Asynchrone Flipflops haben zwei Eingänge, einen Setze-Eingang (S) und einen Rücksetze-Eingang (R), mit denen das Flipflop gesetzt (Q = 1) und rückgesetzt (Q = 0) werden kann. Die internen Schaltelemente (Gatter) benötigen zum Schalten eine gewisse Zeit. Nach dem Änderung eines Eingangswertes dauert es eine gewisse Verzögerungszeit t, bis der zugehörige Zustand am Ausgang erscheint. Bei der Beschreibung eines asynchronen Flipflops gibt man die Eingangswerte und den Zustand zum Zeitpunkt t sowie den Zustand nach dem Schalten der Gatter zum Zeitpunkt t+t an. asynchron: Q t Q t+t Synchrone Flipflops Synchrone Flipflops haben einen Eingang (Daten-Eingang) oder zwei Eingänge (Setze- und Rücksetze-Eingang), mit denen der Zustand des Flipflops verändert werden kann, und einen Takteingang (c), der die Änderung des Zustands auslöst. Je nachdem, wie die Zustandsänderung ausgelöst wird, unterscheidet man zwischen zustandsgetakteten und flankengetakteten Flipflops. zustandgetaktet Der Wert 1 (oder der Wert 0) des Taktsignals aktiviert die Flipflop-Eingänge. flankengetaktet Die ansteigende Vorderflanke (oder die abfallende Rückflanke) des Taktsignals aktiviert die Flipflop-Eingänge. Die internen Schaltelemente (Gatter) benötigen zum Schalten eine gewisse Zeit. Nach der Aktivierung der Eingänge durch das Taktsignal dauert es zwar eine gewisse Zeit, bis der zugehörige Zustand am Ausgang erscheint. Da das Flipflop erst zum nächsten durch das Taktsignal definierten Zeitpunkt betrachtet wird, wird die Verzögerungszeit sofern sie kleiner als der durch das Taktsignal definierte Zeitabschnitt ist nicht weiter beachtet. Der Einfachheit halber werden die internen Schaltelemente (Gatter) als verzögerungsfrei angesehen. Bei der Beschreibung eines synchronen Flipflops gibt man die Eingangswerte und den Zustand zu einem durch das Taktsignal definierten Zeitpunkt t sowie den Zustand zum nächsten durch das Taktsignal definierten Zeitpunkt t+1 an.

2 synchron: Q t Q t+1

3 SR - Flipflop Schaltung en Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. S t R t Q t+t 0 0 Q t Halten Rücksetzen Setzen 1 1 verboten Funktionstabelle Langform Kurzform S t R t Q t Q t+t S t R t Q t Q t+t Halten Halten Rücksetzen Rücksetzen Setzen Setzen verboten verboten Halten Halten Rücksetzen Setzen 1 1 verboten

4 Form: Zustandübergangsstabelle bzw. Automatentabelle Zustand: Eingabe: S t R t Q t Q t+t = (R t, S t, Q t ) Speicherfunktion Q t+t = S t v (R t & Q t ) Ansteuertabelle Form: Funktionstabelle S t R t Q t Q t+t Form: Zuordnungstabelle Q t Q t+t S t R t Schaltsymbol Ablaufgraph bzw. Automatengraph

5

6 SR - Flipflop / Latch Schaltung Flipflop- Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. S t R t Q t+t 0 0 Q t Halten Rücksetzen Setzen 1 1 verboten Latch- Durchlassen: Q = S Auffangen: Q = S v Q

7 R t Q t+t 1 S t Durchlassen 0 S t v Q t Auffangen

8 NOR - Flipflop Schaltung en Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. S t R t Q t+t 0 0 Q t Halten Rücksetzen Setzen 1 1 verboten Die Eingänge der technischen Schaltung werden als active high - Eingänge bezeichnet, da ein Eingangssignal mit hoher Spannung die dem jeweiligen Eingang zugeordnete Funktion (Setzen / Rücksetzen) auslöst. Speicherfunktion Q t+t = R t v (S t v Q t )

9 NAND - Flipflop Schaltung en Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. S t R t Q t+t 1 1 Q t Halten Rücksetzen Setzen 0 0 verboten Die Eingänge der technischen Schaltung werden als active low - Eingänge bezeichnet, da ein Eingangssignal mit niedriger Spannung die dem jeweiligen Eingang zugeordnete Funktion (Setzen / Rücksetzen) auslöst. Speicherfunktion Q t+t = S t & (R t & Q t )

10 SR-Flipflop Schaltung 1-zustandgetaktetes SR-Flipflop Schaltsymbole 1-zustandgetaktet 0-zustandgetaktet Beschreibung Die Beschreibung entspricht der des asynchronen SR-Flipflops. Der Takteingang ist in den Tabellen und Formeln nicht enthalten. Achtung: statt Q t+t heißt es hier Q t+1!

11 D-Flipflop Schaltung 1-zustandgetaktetes D-Flipflop Vereinfachte Schaltung en Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. D t Q t Rücksetzen 1 1 Setzen Funktionstabelle Langform Kurzform D t Q t Q t+1 D t Q t Q t+1

12 0 0 0 Rücksetzen Rücksetzen Setzen Setzen 0 0 Rücksetzen 1 1 Setzen Form: Zustandübergangsstabelle bzw. Automatentabelle Zustand: Eingabe: D t Q t Q t+1 = (D t, Q t ) Speicherfunktion Q t+1 = D t Ansteuertabelle Form: Funktionstabelle D t Q t Q t Form: Zuordnungstabelle Q t Q t+1 D t Schaltsymbole 1-zustandgetaktet 0-zustandgetaktet Ablaufgraph bzw. Automatengraph

13

14 D-Flipflop Schaltung vorderflankengetaktetes D-Flipflop Schaltsymbole vorderflankengetaktet (01) rückflankengetaktet (10) Beschreibung Die Beschreibung entspricht der des statisch getakteten D-Flipflops.

15 SR - Master - Slave - Flipflop Schaltung zustandgetaktetes SR-Master-Slave-Flipflop Der neue Zustand erscheint am Ausgang mit der fallenden Flanke des Taktsignals. Schaltung mit Schaltsymbolen flankengetaktetes SR-Master-Slave-Flipflop Die beiden internen Flipflops werden mit den unterschiedlichen Flanken desselben Taktsignals angesteuert. Das ist eine besonders betriebssichere Anordnung zur Speicherung eines Bits.

16 JK-Flipflop Schaltung 1-zustandgetaktetes JK-Master-Slave-Flipflop en Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Setzen: Die Zustandsvariable Q wird auf 1 gesetzt. Rücksetzen: Die Zustandsvariable Q wird auf 0 gesetzt. Wechseln: Die Zustandsvariable Q wird auf Q gesetzt. J t K t Q t Q t Halten Rücksetzen Setzen 1 1 Q t Wechseln Funktionstabelle Langform Kurzform J t K t Q t Q t+1 J t K t Q t Q t Halten Halten Rücksetzen Rücksetzen Setzen Setzen Halten Halten Rücksetzen Setzen Wechseln Wechseln

17 Wechseln Wechseln Form: Zustandübergangsstabelle bzw. Automatentabelle Zustand: Eingabe: J t K t Q t Q t+1 = (J t, K t, Q t ) Speicherfunktion Q t+1 = (J t & Q t ) v (K t & Q t ) Ansteuertabelle Form: Funktionstabelle J t K t Q t Q t Form: Zuordnungstabelle Q t Q t+1 J t K t Schaltsymbole zustandsgetaktet (1) zustandsgetaktet (0) vorderflankengetaktet (01) rückflankengetaktet (10)

18 Ablaufgraph bzw. Automatengraph

19 T-Flipflop Schaltung 1-zustandgetaktetes T-Master-Slave-Flipflop en Lesen/Speichern bzw. Halten: Die Zustandsvariable Q wird nicht verändert. Wechseln: Die Zustandsvariable Q wird auf Q gesetzt. T t Q t+1 0 Q t Halten 1 Q t Wechseln Funktionstabelle T t Q t Q t Halten Halten Wechseln Wechseln Form: Zustandübergangsstabelle bzw. Automatentabelle Zustand: Eingabe: T t Q t Q t+1 = (T t, Q t )

20 Speicherfunktion Q t+1 = (T t & Q t ) v (T t & Q t ) Ansteuertabelle Form: Funktionstabelle T t Q t Q t Form: Zuordnungstabelle Q t Q t+1 T t Schaltsymbole 1-zustandgetaktet 0-zustandgetaktet vorderflankengetaktet (01) rückflankengetaktet (10) Ablaufgraph bzw. Automatengraph

21

22 D-Flipflop, T-Flipflop und JK-Flipflop Realisierung eines D-Flipflops mit Hilfe eines JK-Flipflops Realisierung eines T-Flipflops mit Hilfe eines JK-Flipflops

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01.

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01. DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 12 AM 22.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm 2. Übung: Flipflops und Automaten 1. Aufgabe: Steuerungslogik für Kaffeeautomat Erstellen Sie eine Steuerungslogik für einen einfachen Kaffeautomaten mithilfe eines Mealy-Automats. Ein Becher Kaffee kostet

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

5. Flipflops. 5.1 Nicht-taktgesteuerte Flipflops. 5.1.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2.

5. Flipflops. 5.1 Nicht-taktgesteuerte Flipflops. 5.1.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. AO TIF 5. Nich-akgeseuere Flipflops 5.. NO-Flipflop chalung: E A zur Erinnerung: A B A B 0 0 0 0 0 0 0 E 2 A 2 Funkionsabelle: Fall E E 2 A A 2 0 0 2 0 3 0 4 Erklärungen: Im peicherfall behalen die Ausgänge

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

11. Flipflops. 11.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. Funktionstabelle: Fall E 1 E 2 A 1 A 2 1 0 0 2 0 1 3 1 0 4 1 1

11. Flipflops. 11.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. Funktionstabelle: Fall E 1 E 2 A 1 A 2 1 0 0 2 0 1 3 1 0 4 1 1 TONI T0EL. Flipflops. Flipflops. NO-Flipflop chalung: E A zur Erinnerung: A B A B 0 0 0 0 0 0 0 E 2 A 2 Funkionsabelle: Fall E E 2 A A 2 0 0 2 0 3 0 4 Beobachung: Das NO-Flipflop unerscheide sich von allen

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Anwendungshinweise zur Anwendung der Soziometrie

Anwendungshinweise zur Anwendung der Soziometrie Anwendungshinweise zur Anwendung der Soziometrie Einführung Die Soziometrie ist ein Verfahren, welches sich besonders gut dafür eignet, Beziehungen zwischen Mitgliedern einer Gruppe darzustellen. Das Verfahren

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 B i s t a b i l e Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 1 0. 3 M o n o s t a b i l

Mehr

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen Praktikum Digitaltechnik SS 2009 Versuch 1 1 Gesamtablauf: Praktikum Digitaltechnik SS 2009 1. Versuch Herkömmlicher Schaltungsaufbau durch Stöpseln 2. Versuch Rechnergestütztes Entwerfen über Schaltplan

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Binär Codierte Dezimalzahlen (BCD-Code)

Binär Codierte Dezimalzahlen (BCD-Code) http://www.reiner-tolksdorf.de/tab/bcd_code.html Hier geht es zur Startseite der Homepage Binär Codierte Dezimalzahlen (BCD-) zum 8-4-2-1- zum Aiken- zum Exeß-3- zum Gray- zum 2-4-2-1- 57 zum 2-4-2-1-

Mehr

Theoretische Informatik SS 04 Übung 1

Theoretische Informatik SS 04 Übung 1 Theoretische Informatik SS 04 Übung 1 Aufgabe 1 Es gibt verschiedene Möglichkeiten, eine natürliche Zahl n zu codieren. In der unären Codierung hat man nur ein Alphabet mit einem Zeichen - sagen wir die

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 2 Inhalt 1. Anleitung zum Einbinden eines über RS232 zu steuernden Devices...3 1.2 Konfiguration

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Aussage: Das Seminar ist hilfreich für meine berufliche Entwicklung

Aussage: Das Seminar ist hilfreich für meine berufliche Entwicklung Nachhaltigkeitsüberprüfung der Breuel & Partner Gruppendynamikseminare In der Zeit von Januar bis Februar 2009 führten wir im Rahmen einer wissenschaftlichen Arbeit eine Evaluation unserer Gruppendynamikseminare

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Erfahrungen mit Hartz IV- Empfängern

Erfahrungen mit Hartz IV- Empfängern Erfahrungen mit Hartz IV- Empfängern Ausgewählte Ergebnisse einer Befragung von Unternehmen aus den Branchen Gastronomie, Pflege und Handwerk Pressegespräch der Bundesagentur für Arbeit am 12. November

Mehr

Stellvertretenden Genehmiger verwalten. Tipps & Tricks

Stellvertretenden Genehmiger verwalten. Tipps & Tricks Tipps & Tricks INHALT SEITE 1. Grundlegende Informationen 3 2.1 Aktivieren eines Stellvertretenden Genehmigers 4 2.2 Deaktivieren eines Stellvertretenden Genehmigers 11 2 1. Grundlegende Informationen

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch omputertechnik r. Wolfgang Koch 4.3 chwerke, equentielle chungen peicher, egister... : Frühere Eingaben (innere Zustände) spielen eine olle (werden gespeichert) Friedrich chiller University ena epartment

Mehr

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der Sichere E-Mail der Nutzung von Zertifikaten / Schlüsseln zur sicheren Kommunikation per E-Mail mit der Sparkasse Germersheim-Kandel Inhalt: 1. Voraussetzungen... 2 2. Registrierungsprozess... 2 3. Empfang

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

Teaser-Bilder erstellen mit GIMP. Bildbearbeitung mit GIMP 1

Teaser-Bilder erstellen mit GIMP. Bildbearbeitung mit GIMP 1 Teaser-Bilder erstellen mit GIMP 08.08.2014 Bildbearbeitung mit GIMP 1 Auf den folgenden Seiten werden die wichtigsten Funktionen von GIMP gezeigt, welche zur Erstellung von Bildern für die Verwendung

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Eine charakteristische Gleichung beschreibt die Arbeitsweise eines Flipflops in schaltalgebraischer Form.

Eine charakteristische Gleichung beschreibt die Arbeitsweise eines Flipflops in schaltalgebraischer Form. Sequenielle Schalungen 9 Charakerisische Gleichungen Eine charakerisische Gleichung beschreib die Arbeisweise eines Flipflops in schalalgebraischer Form. n is ein Zeipunk vor einem beracheen Tak. is ein

Mehr

ln halt E in leitu ng

ln halt E in leitu ng ln halt E in leitu ng 1 Kurze Einführung in die Grundlagen der digitalen Elektronik 1.1 Was versteht man unter analog und was unter digital? 7.2 Analoge Systeme 1.3 Digitale Systeme I.4 Binäres System

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter.

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Stundenverwaltung Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Dieses Programm zeichnet sich aus durch einfachste

Mehr

fortbildungen zum pc einsatz bei sehgeschädigten julian iriogbe - förderschul- und rehabilitationslehrer - e-mail: reha@iriogbe.de JAWS und Internet

fortbildungen zum pc einsatz bei sehgeschädigten julian iriogbe - förderschul- und rehabilitationslehrer - e-mail: reha@iriogbe.de JAWS und Internet 1 Tipps und Hinweise: JAWS und Internet - JAWS-Taste = EINFG-Taste - viele wichtige Informationen gibt JAWS nur über die Sprache aus; deshalb sollte der Kopfhörer in diesem speziellen Fall immer eingesetzt

Mehr

Wie ist das Wissen von Jugendlichen über Verhütungsmethoden?

Wie ist das Wissen von Jugendlichen über Verhütungsmethoden? Forschungsfragen zu Verhütung 1 Forschungsfragen zu Verhütung Wie ist das Wissen von Jugendlichen über Verhütungsmethoden? Wie viel Information über Verhütung ist enthalten? Wie wird das Thema erklärt?

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle

MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle Funktion. Funktion schnelle Datenkopplung zwischen PC und MOPS zur Sollwertvorgabe Übernahme von Daten aus einer externen PCM-Schnitstelle in

Mehr

Kurzanleitung MAN E-Learning (WBT)

Kurzanleitung MAN E-Learning (WBT) Kurzanleitung MAN E-Learning (WBT) Um Ihr gebuchtes E-Learning zu bearbeiten, starten Sie bitte das MAN Online- Buchungssystem (ICPM / Seminaris) unter dem Link www.man-academy.eu Klicken Sie dann auf

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003 Page 1 of 8 SMTP Konfiguration von Exchange 2003 Kategorie : Exchange Server 2003 Veröffentlicht von webmaster am 25.02.2005 SMTP steht für Simple Mail Transport Protocol, welches ein Protokoll ist, womit

Mehr

Anforderungsanalyse: Tutor

Anforderungsanalyse: Tutor Anforderungsanalyse: Tutor cho,nma,jhed,amk,mmu 28. April 2008 Inhaltsverzeichnis 1 Use-Cases 2 1.1 Use-Case: Korrektur.............................. 3 1.1.1 Interaktionsfolge............................

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

AUFGABE 1 - INTERAKTION AUFGABENSATZ 1

AUFGABE 1 - INTERAKTION AUFGABENSATZ 1 AUFGABE 1 - INTERAKTION AUFGABENSATZ 1 Vorbereitungszeit: 1 Minute Gesprächsdauer der 2 Kandidaten: 4 bis 5 Minuten KANDIDAT A ARBEITEN IN DEUTSCHLAND Sie möchten mit Ihrem/er Freund/in in Deutschland

Mehr

Zählerstände online übermitteln

Zählerstände online übermitteln Zählerstände online übermitteln Nachfolgende Beschreibung erleichtert es Ihnen, den Ihrer Fotovoltaikanlage zu ermitteln und an die Regensburg Netz GmbH zu melden. Für die Übermittlung Ihrer Zählerstände

Mehr

System der. Bühnensteckverbind 63A ( System Eberl ) REICHE & VOGEL-B.DELTSCHAFT. Blumenstr.10 D-13585 Berlin (Spandau)

System der. Bühnensteckverbind 63A ( System Eberl ) REICHE & VOGEL-B.DELTSCHAFT. Blumenstr.10 D-13585 Berlin (Spandau) System der Bühnensteckverbind 63A ( System Eberl ) REICHE & VOGEL-B.DELTSCHAFT Blumenstr.10 D-13585 Berlin (Spandau) Telefon: 335 70 61 Telefax: 336 20 58 Email: office@revolux.com Internet:

Mehr

Angaben zu einem Kontakt...1 So können Sie einen Kontakt erfassen...4 Was Sie mit einem Kontakt tun können...7

Angaben zu einem Kontakt...1 So können Sie einen Kontakt erfassen...4 Was Sie mit einem Kontakt tun können...7 Tutorial: Wie kann ich Kontakte erfassen In myfactory können Sie Kontakte erfassen. Unter einem Kontakt versteht man einen Datensatz, der sich auf eine Tätigkeit im Zusammenhang mit einer Adresse bezieht.

Mehr

40x wissensch. Lehrerin / wissensch. Lehrer. 2. Mit dem Thema digitales Whiteboard als Unterrichtsmittel habe ich mich bereits beschäftigt.

40x wissensch. Lehrerin / wissensch. Lehrer. 2. Mit dem Thema digitales Whiteboard als Unterrichtsmittel habe ich mich bereits beschäftigt. Evaluationsergebnisse Nutzung der digitalen Whiteboards 1. Ich unterrichte als 40x wissensch. Lehrerin / wissensch. Lehrer. Mit dem Thema digitales Whiteboard als Unterrichtsmittel habe ich mich bereits

Mehr

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de 1. Herr Meier bekommt nach 3 Jahren Geldanlage 25.000. Er hatte 22.500 angelegt. Wie hoch war der Zinssatz? 2. Herr Meiers Vorfahren haben bei der Gründung Roms (753. V. Chr.) 1 Sesterze auf die Bank gebracht

Mehr