Fakultät Elektrotechnik und Informationstechnik JAHRESBERICHT Jahresbericht Institut für Halbleiter- und Mikrosystemtechnik

Größe: px
Ab Seite anzeigen:

Download "Fakultät Elektrotechnik und Informationstechnik JAHRESBERICHT Jahresbericht Institut für Halbleiter- und Mikrosystemtechnik"

Transkript

1 Fakultät Elektrotechnik und Informationstechnik Jahresbericht 2011 JAHRESBERICHT 2011 Institut für Halbleiter- und Mikrosystemtechnik

2

3 J A H R E S B E R I C H T 2011 ANNUAL REPORT 2011 Institut für Halbleiter-- Universität Dresden Semiconductor and Microsyste ems Technology Laboratory Dresden University of Technology und Mikrosystemtechnik der Technischen Anschrift/Address: Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Dresden Telefon: (0351) Fax: (0351) Dienstgebäu ude/office: Dresden Nöthnitzer Str. 64 MIERDEL-Bau

4 Vorwort Sehr geehrte Leser unseres Jahresberichtes 2011, im vorliegenden Dokument präsentieren Ihnen die fünf Professuren des Institut für Halbleiter- und Mikrosystemtechnik (IHM) ihre Kompetenzen entsprechend den Widmungen: Halbleitertechnik (HLT), Mikrosystemtechnik (MST) und Polymere Mikrosysteme (PMS), Optoelektronische Bauelemente und Systeme (OES) sowie Nanoelektronische Materialien (NEM). Damit deckt das IHM ganz wesentliche Aspekte der Mikro- und Nanotechnik ab, die sich von den fertigungstechnologischen Fragestellungen über die Evaluation von Materialien sowie die Erstellung von Bauelementen biss zu den Systemen erstrecken. Eine gemeinsame Zielstellung ist dabei die Miniaturisierung von Strukturen, die nicht nur Ressourcen schont und die Zuverlässigkeit erhöht, sondern bestimmte Wirkprinzipien (thermomechanisch, mikrofluidisch, mechanisch-optisch, ) erst ermöglicht. Ich denke, es wird schnell deutlich, dass die angesproch henen Themenbereichee eine ganzheitliche Betrach- tung benötigen. Das Zusammenwirken unterschiedlicher Fachdisziplinen hat am IHM eine lange Tradition und umfasst neben der Elektrotechnik, die Chemie, Physik, und Material- von wissenschaft. F&E Aufgaben lassen sich damit am IHM aus einer e Hand, ausgehend einem fundamentalen Verständnis der Wirkungen, Materialienn und Prozesse bis zu den anwendungsspezifischen Fragestellungenn eines Nutzers in einem System bearbeiten. Die in diesem Jahresbericht vorgestelltenn Ergebnisse sind dass Resultat eines engagierten Teams von Mitarbeitern, Doktoranden, Diplomandenn und Studienarbeitern. Ich danke allen für ihre Beiträge. Dabei stehen natürlich die Lösungen und neue Erkenntnisse im Vorder- grund der Berichte. Wovon kaum berichtet wird sind die teilweisee überraschenden Fragen der Studenten, Diplomanden und Doktorande n an die Betreuer. Das Ringen um die Antwort ist dann häufig der Schlüssel für eine neuee Erkenntnis. Und so danke ich auch allen nicht genannten Fragestellern für die auslösenden Momente. Der hier vorliegendee Jahresbericht ist nicht nur als Rechenschaftsbericht gedacht. Wir haben zwar in der Tat versucht, die relevanten Daten zusammenzutragen, die die Leistungsfähigkeit des IHM dokumentieren; unser eigentliches Anliegenn ist jedoch,, zu zeigen,, dass im IHM ein engagiertes Team mit einer hohen Kompetenz und einer ausgezeichneten Ausstattung interdisziplinär erfolgreich zusammenarbeitet. Viel Freude beim Lesen unseres Jahres- berichtes Prof. Dr. rer. nat. Johann W. Bartha Instuitutsdirektor 2

5 INSTITUTT FÜR HALBLEITER- UND MIKROSYSTEMTECHNIK Professuren: Halbleitertechnik (HLT) HLT Mikrosystemtechnik (MST) Polymere Mikrosysteme (PMS) Nanoelektronische Materialien (NEM) Optoelektronische Bauelemente und Systeme (OES) OES N EM MS ST & PM MS 3

6 INHALTSVERZEICHNIS / CONTENTS Seite/Page 1. Institutsstruktur / Organization Institutsleitung / Laboratory Management Professur Halbleitertechnik / Chair for Semiconductor Technology Professur Mikrosystemtechnik / Chair for Microsystems Technology Professur Polymere Mikrosysteme / Chair for Polymeric Microsystems Professur Optoelektronische Bauelemente und Systeme / Chair for Optoelectronic Components and Systems Professur Nanoelektrische Materialien / Chair for Nanoelectronic Materials 7 2. Forschungsgebiete, Kompetenzen und Laboratorien / Research Areas, Competences and Laboratories an der Professur Halbleitertechnik an der Professur Mikrosystemtechnik an der Professur Polymere Mikrosysteme an der Professur Optoelektronische Bauelemente und Systeme an der Professur Nanoelektrische Materialien Reinraumgebäude am MIERDEL-Bau Neubau eines Forschungsgebäudes am MIERDEL-Bau Lehre / Education Ausbildung am IHM / Microelectronic Studies Lehrveranstaltungen / Lectures Studien- und Diplomarbeiten 2011 / Students Project Reports and Diploma Thesis Dissertationen und Habilitationen / Doctoral Thesis (PhD) Weiterbildungen Gegenwärtige Forschungsprojekte / Current Research Projects an der Professur Halbleitertechnik an der Professur Mikrosystemtechnik an der Professur Polymere Mikrosysteme an der Professur Optoelektronische Bauelemente und Systeme Gemeinsame Forschungsaktivitäten von TU Dresden (IHM) und NaMLab ggmbh Publikationen / Publications Monografien und Bücher / Monographs and Books Zeitschriftenartikel und gedruckte Tagungsbeiträge / Journals, Proceedings Prints Vorträge und Poster (ohne Abdruck) / Oral Presentations and Posters Patente / Patents Mitarbeit in Gremien / Cooperation in Commitees 133 4

7 1. Institutsstruktur / Organization 1.1. Institutsleitung / Laboratory Management Fax. (0351) Geschäftsführender Leiter/Institutsdirektor / Head of the Laboratory Prof. Dr.rer.nat. Johann Wolfgang Bartha Tel Professuren / Chairs Johann Wolfgang Bartha Prof. Dr.rer.nat. Tel Halbleitertechnik / Semiconductor Technology Fax Wolf-Joachim Fischer Prof. Dr.-Ing.habil. Tel Mikrosystemtechnik / Microsystems Technology Fax Hubert Lakner Prof. Dr.-Ing. Tel Optoelektronische Bauelemente und Systeme / Optoelectronic Components and Systems Fax Instituts-Oberassistent / Laboratory Senior Assistant Christian Wenzel Dr.rer.nat. Tel Sekretariat / Secretary Regine Pfennig Tel Professur Halbleitertechnik / Chair for Semiconductor Technology Durchwahl: Leiter / Head: Johann Wolfgang Bartha Prof. Dr.rer.nat Sekretariat / Secretary: Regine Pfennig Emeritus: Kurt Drescher Prof.Dr.-Ing.habil. i.r. Wissenschaftliche Mitarbeiter / Scientifical Staff: Albert, Matthias Dr.-Ing Klaus, Christoph Dr.-Ing Künzelmann, Ulrich Dr.rer.nat Neumann, Volker Dr.rer.nat Wenzel, Christian Dr.rer.nat Technische Mitarbeiter / Technical Staff: Bendlin, Marion Hiemann, Egbert Dipl.-Ing. (FH) Hiess, André Dipl.-Ing. (FH) Forschungsmitarbeiter (Drittmittelfinanzierung) / Research Staff: Estel, Kathrin Dipl.-Chem Geidel, Marion Dipl.-Ing Haas, Willi Dipl.-Ing He, Jian Dipl.-Ing Henke, Thomas Dipl.-Ing Hiemann, Heidrun Hoffmeister-Wiegel, Mario Hoßbach, Christoph Dipl.-Ing

8 Jahn, Andreas Dipl.-Phys Junige, Marcel Dipl.-Ing Kellner, Eckehard Knaut, Martin Dipl.-Ing Kubasch, Christoph Dipl.-Ing Leszczynska, Barbara Dipl.-Ing Leszczynski, Sebastian (ab 05/11) Dipl.-Ing Luther, Rainer Dipl.-Ing Merkel, Ulrich Dipl.-Phys Nobis, Christian Dipl.-Ing Richter, Karola Dr.-Ing Ritter, Zulfija Strobel, Carsten Dipl.-Ing Völkel, Sandra Waurenschk, Sylva Dipl.-Chem Wojcik, Henry Dipl.-Ing Professur Mikrosystemtechnik / Chair for Microsystems Technology Durchwahl: Leiter / Head: Fischer, Wolf-Joachim Prof. Dr.-Ing.habil Sekretariat / Secretary: Kunz, Kerstin Wissenschaftliche Mitarbeiter / Scientifical Staff: Adolphi, Barbara Dr.rer.nat Marschner, Uwe Dr.-Ing Plötner, Matthias Dr.-Ing Türke, Alexander Dr.rer.nat Technische Mitarbeiterin / Technical Staff: Chares, Adelheid Dipl.-Ing.(FH) Forschungsmitarbeiter (Drittmittelfinanzierung) / Research Staff: Arndt, Susann Dipl.-Ing Kirchner, Robert Dr.-Ing Krause, Martin Dipl.-Ing Kunadt, Andreas Dipl.-Ing Landgraf, René Dipl.-Ing Renner, Axel Dipl.-Ing Sauer, Sebastian Dipl.-Ing Starke, Eric Dr.-Ing Teng, Lichao Dipl.-Ing Ulbricht, Bernd Dipl.-Ing. (FH) Weder, Andreas Dipl.-Ing. über Professur Polymere Mikrosysteme / Chair for Polymeric Microsystems Durchwahl: Leiter / Head: Richter, Andreas Prof. Dr.-Ing Sekretariat / Secretary: 6

9 Kunz, Kerstin Forschungsmitarbeiter (Drittmittelfinanzierung) / Research Staff: Allerdißen, Merle Dipl.-Ing Greiner, Rinaldo Dipl.-Ing Haas, Willi Dipl.-Ing Klatt, Stephan Dipl.-Ing Körbitz, René Dipl.-Ing Luther, René Dr.rer.nat Paschew, Georgi Dipl.-Ing Tietze, Marcus Dipl.-Chem Professur Optoelektronische Bauelemente und Systeme / Chair for Optoelectronic Components and Systems Durchwahl / Leiter / Head: -Adr.: Lakner, Hubert Prof. Dr.-Ing Wissenschaftliche Mitarbeiter / Scientifical Staff: Knoblauch, Hans-Jürgen Dipl.-Ing. (FH) Köpp, Eva-Maria Dipl.-Ing Technische Mitarbeiterin / Technical Staff: Neugebauer, Margit Dipl.-Ing Forschungsmitarbeiter (Drittmittelfinanzierung) / Research Staff: Bechtel, Christin M. Phys. Christin.Bechtel@ipms.fraunhofer.de Drabe, Christian Dr.-Ing. Christian.Drabe@ipms.fraunhofer.de Finn, Andreas Dipl.-Ing Päßler, Sebastian Dipl.-Ing. Sebastian.Paessler@ipms.fraunhofer.de Todt, Ulrich Dr.rer.nat. Ulrich.Todt@ipms.fraunhofer.de 1.6 Professur Nanoelektronische Materialien / Chair for Nanoelectronic Materials Durchwahl Leiter /Head Mikolajick, Thomas Prof. Dr.-Ing Der Lehrstuhl für Nanoelektronische Materialien befindet sich im Aufbau, so dass bisher alle Forschungstätigkeiten am NaMLab stattfinden und alle Mitarbeiter über die NaMLab ggmbh zu erreichen sind: NaMLab ggmbh Zentrale

10 2. Forschungsgebiete, Laboratorien und Kompetenzen / Research Areas, Laboratories and Competences 2.1 Forschungsgebiete, Laboratorien und Kompetenzen an der Professur Halbleitertechnik Übersicht CVD / ALD Plasmadiagnostik PVD Elektrische Messtechnik Lithographie (e-beam) Oxidation CMP RIE ECD 8

11 Forschungskompetenz Atomic Layer Deposition (ALD) und Chemical Vapor Deposition (CVD) Aufgabenbereich ALD: Aufgabenbereich CVD: Herstellungg von metallischen Diffusionsbarrieren und Elektrodensystemen auf u.a. Tantal-, Ruthenium-, Iridium- und Titan-Basiss für Graben- und Via - Strukturen mit hohem Aspektund Titan- verhältnis Herstellung von Hafnium-, Zirkonium-, Aluminium- oxid-basiss für Isolatoren und optische Anwendungen Herstellung von SiO-, Isolation, als Hilfsschichten und für funktionale Aufgaben, wie Membranen SiN- und SiON bzw. TEOS-Schichten zur elektrischen Schematischer Ablauf eines ALD-Prozesszyklus: Adsorption des Precursors, Monolagenbildung Inertgasspülungg H-Reaktionsphase: Ta-N-Schicht Inertgasspülungg Versuchsanlage FHR300 ALD-Cluster-Anlage mit in-situ-xps/afm/stm-analysesystem XPS/AFM/STM-Analyse Handler ALD 9

12 Ausgewählte Ergebnisse In-Situ-Analytik mit XPS Intensität [10 3 cps] Zyklen 0 0, auf nat. SiO 2 Intensity [10 3 cps] Zyklen 0 0, auf c-si Bindungsenergie [ev] Binding energy [ev] 20 Entwicklung des Ta4f-Peakmit HF-Dip gereinigtem c-si (rechts) Die Wirkung einzelner Precursorpulse und der Einfluss des Substrates auf das Wachstum wird sichtbar in den ersten 20 Zyklen der Ta-N ALD auff nat. SiO 2 (links) und auf ALD von Tantalnitrid auf 3D-Struktur ren 100 nm ALD Ta-N in Trenchstrukturen mit Aspektverhältnis von ca. 25:1 PEALD Tantalcarbonitrid auf Kohlenstoffnanoröhren 10

13 Versuchsanlage: BENEQ TFS 500 Einzelscheiben- und Batch-ALD-Anlage Ausgewählte Ergebnisse: Abscheiderate in Å/Zyklus ALD von Elektroden aus Iridium und Iridiumoxid 0,5 18 Ellipsometrie 0,4 XRR 16 0,3 14 0,2 0, spez. el. Widerstand in μ cm 0, Abscheidetemperatur in C ALD-Fenster eines Irdium-ALD-Prozesses mit den Precursoren Ir(EtCp)(COD) und O 2 5 nm dicke ALD Iridiumoxid-Schichten 0,34 nm (AFM) mit RMS-Rauheit von 11

14 Forschungskompetenz Physical Vapor Deposition Verfahren Elektronenstrahlverdampfen Electron Beam Evaporation Magnetronsputtern Magnetron Sputtering Vakuumbogenverdampfen Pulsed High Current Arc Deposition Lasergestützte Dünnschichtablation Pulsed Laser Deposition Materialien Edelmetalle Au, Pt, Ag Leiterwerkstoffe Cu, Ni,, Pd, Lotwerkstoffe Sn, Pb, Sb, In, Bi Legierungen NiCr Isolatorenn WO 3 Diffusionsbarrieren Ta, TaN, TaSiN, CrNi, Ti, TiN, WTiN Leiterwerkstoffe Cu, Al, AlSi1, AlSiCu, Kontaktwerkstoffe Ti, Co Isolatorenn Ta 2 O 5, Ta 2 O 5 /SiO 2 Diffusionsbarrieren Ta, TaNN Kupferkeimschicht (seed layer) Kooperation mit FhG IWSS Dresden Diffusionsbarrieren Ta, TaN Nb, Cu Kooperation mit NICTL Moskau Anwendungen Dünnschichtverdrahtung, Rückseitenmetallisierung, Lotbumps für Flip Chip, C Elektroden Diffusionsbarrieren für Cu-Chip- verdrahtung, Seedlayer für Cu- Ohmschee Kontaktierung von Si, Metallisierung von Bauelementen Galvanik, Unterbumpmetallisierung, Herstellung von Diffusionsbarrieree und Kupfer-Keimschicht für eine strukturkonforme Beschichtung von Strukturen mit hohem Aspektverhältnis (DE C2) Grundlagenuntersuchungen zur Charakterisierung der Emissionsflamme und einer möglichen Einflussnahme auf das energetische Spektrum der Ionen und einer entsprechenden Droplet-Filterung (s.a. bei Förderprojekten) 12

15 Strukturiertes SiO 2 für Damaszene-Strukturen Geöffnetes Kontaktfenster Forschungskompetenz Reaktives Ionenätzen (RIE) Das reaktive Ionenätzen wird am IHM zur Strukturierung von Silizium, organischen und anorganischen Dielektrika, metallische n Barrierematerialien auf Ti- oder Ta-Basiss und zum Ätzen von Polymeren für mikroelektronische Anwendungen genutzt. Es existieren Plasmaätzprozesse zur Strukturierung neuartiger Schichtsysteme für Speicherund Transistoranwendungen. Dies betrifft u.a. das Ätzen von Ru, Pt, C, und Ir. Anwendungen: - Strukturierung von Schichtstapelnn aus dielektrischen Schichtenn (SiN-SiO-SiN) zur Öffnung von Kontaktfenstern - Strukturierung von thermischem SiO 2 zur Erzeugung von Damascene-Strukturen - Strukturierung oder Rückätzung vonn Ta- oder Ti-basierten n Barriereschichten - Strukturierung oder Rückätzung vonn Elektrodenmaterialienn wie Ru, Pt, C, und Ir - Strukturierung von polymeren Dielektrika wie BCB oder Silk - Oberflächenbehandlung mit verschiedenen Plasmen zur Veränderung/Verbesserung von Oberflächeneigenschaften (Reinigung, Resiststrippen, VeränderunV ng des Benetzungs- verhaltens, Erhöhung der Haftfestigkeit folgender Schichten,...) - Erzeugung von Submikrometer-Strukturen in Silizium Anlagen: 1. RIE-Diodenreaktor als Bestandteil einer Mehrkammerbearbeitungsanlage (Cluster Tool) der Firma Surface Technology Systems (STS) Inc., GB 2. RIE-Diodenreaktor Plasmalab 80 derr Firma Oxford Instruments Plasma Technology, GB 3. ICP-Reaktor, ebenfalls Bestandteil der o. g. Cluster Tool Als Prozessgase stehen N 2, Ar, O 2, CF 4 4, CHF 3 NF3 und SF 6 zur Verfügung. 13

16 Wafer Vakuumpumpen- anschluss Forschungskompetenz Anisotropic Silicon Etch (ASE) Reaktives Siliziumätzen Am IHM wird die Silizium-Tiefenstrukturierung unter Anwendung g des von der Firma Surface Technology Systemss Inc. (STS), eingeführten sogenannten Advanced Silicon Etch-Prozes- Hauptanwendungsgebiet ist die Strukturierung von Siliziumsub straten für Bauelemente der ses auf der Basis eines patentiertenn Verfahrens der Firma F Bosch durchgeführt. Mikrosystemtechnik. Anlagen: LPX Pegasus DRIE - Anlage Advanced Silicon Etch System (Fa. Surface Technology Systems Inc. (STS), GB) Anpassnetzwerk 13,56 MHz Plasma Mass flow controller Induktive Plasmaquelle Elektrode mit elektrostatischem Chuck He-Rückseitenkühlung Schematische Darstellung der Pegasus DRIEE - Anlage Ätzprozesse Anisotrope zyklische Ätzprozessee (Bosch-Verfahren) Alternierende Passivierungs- und Ätzintervalle senkrechte Seitenwändee sehr gute Strukturübertragungsgenauigkeit prinzipiell beliebige Ätztiefen möglich 14

17 Isotroper Si-Ätzprozess Anisotroper kontinuierlicher Ätzprozess große Maskenunterätzung beliebige Ätztiefen möglich sehr geringe Rauhigkeiten Ätztiefen bis 20 m möglich Strukturerzeugung mit positivem Ätzprofil Erzeugung von Nano-Strukturen Alternierende Prozessschritte Definiert einstellbarer Böschungswinkel Ätztiefen von mehreren 100 μm möglich Erzeugung von Black silicon Stegbreite: 1000 nm Strukturbreiten von 50 nm möglich Aspektverhältnis 10 möglich Abscheidung von C-F-Polymerschichten Modifizierter Bosch-Prozess Hydrophob, Kontaktwinkel 120 Thermischh stabil bis 200 C Gute Haftfähigkeit auf Si Diffusionsbarriere gegen organische Lösungsmittel 15

18 Forschungsdienstleistungen (Beispiele) Siliziumtiefenstrukturierung für mikrofluidische Bauelemente der Firmaa GeSiM - Gesellschaft für Silizium-Mikrosysteme Silizium-Glas-Manifold Sauerstoffsensor Kanäle und Durchgangslöcher wurden mittels DRIE (Bosch-Prozess) geätzt. Öffnungen zur Zuführung verschiedener organischer Substanzen Der Wafer wurde an a diesen Stellen durch mehrfache Silizium-Tiefenätzung (Bosch- Prozess) von der Vorder- V und Rückseite her vollständig durchgeätzt. Durchgangslöcher wurden mittels DRIE (Bosch- Prozess) geätzt. Bioreaktorr zur Untersuchung des Einflusses natürlicher oder künstlicher Substanzen auf menschliche Zellen Quelle : GeSiM - Gesellschaft für Silizium-Mikro osysteme mbh 16

19 Erzeugung mikromechanischer Strukturen mit freier Membran Si-Stem mpel Si-Wafe er Herzustellende Struktur Si 3 N 4 SiO 2 Freigelegte Membran Metallbrückenstrukturen Cu Si-Stempel auf transparenter Membran Ta Silizium SiO 2 Herzustellende Struktur Realisierte Brückenstrukturen 17

20 Abformmaster für Polymerteile Beispiel: Herstellung von Mikroskopierzellen für die Mikrofluidik Zwei Versionen von Kanalsystemen aus PDMSS mit Aufnahmevorrichtungen für ein Mikroskop Inlet Outlet Zu ätzende Vertiefung Stege Schematische Darstellung des Si-Masters, der zur Abformung der Mikroskopierzellee aus PDMS dient Kreuzungsbereich der Abformstruktur Detailansicht des KreuzungsbeK ereichs Quelle : GeSiM - Gesellschaft für Silizium-Mikro osysteme mbh 18

21 Abformmaster für Imprint-Stempel Mittels Nano-Strukturierungsprozess geätzte Si-Master Stegbreitee (oben): 61 nm Grabenbreite: 428 nm, Ätztiefe: 764 nm Böschungswinkel: 87,3 Sacklöcher für Durchkontaktierungenn Geätzte Sacklöcher mit leicht positivem Ätzprofil Ä Mit Ta-Barriere und Cu beschichtete Sacklöcher 19

22 Forschungskompetenz Galvanische Schichtabscheidung (Electrochemical deposition, ECD) Wafer-Plating-Anlage (RENA) Galvanische Kupferabscheidung auf 4 - bis 8 -Wafern (Prozessmodul mit geneigt rotierendem Wafer, Prozesse mit dimensionsstabilen und Opfer- Verfahren Galvanische Beschichtung: Kupfer, Nickel, Gold u. a. anoden und entsprechenden Bädern) Zinn-Blei-Legierungen u. a. Nasschemische Ätzung: Aluminium, Wolfram-Titan, Kupfer u. a. Stromlose Abscheidung: NiP, NiRe, Au u. a. Beispiel 1 Prozesse Galvanotechnische KupferabschK heidung zur Herstellung von: a) Strukturen (pattern plating) b) Leitbahnen (copper damascene process) c) Durchkontaktierungen für die vertikalee Systemintegration (throughh silicon via) Elektrochemische und stromlos-galvanische Metalle als: Abscheidung weiterer a) Kontaktvorbereitung (für das Drahtbonden oder als under bump metallisation, UBM) b) Kontakt-, Barriere- oder Korrosionsschicht oder Lotdepot (im( flip chip bumping) c) Umkontaktierung von Al-Metallisierungen auf Cu-Leitbahn nen für höhere Ströme Charakterisierung Analyse der Schichten (Dicke, Zusammen- setzung) und Elektrolyten: RFA, XPS, REM, u. a. analytischee Methoden Topographien: Optische und taktile ProfilometrieP e Leitfähigkeiten: Elektrischee Vierpunktmessung, spez. Sonden Beispiel 2 Galvanische Cu-Teststruktur (5 μm hoch) mit 200 nm dicker stromlos abgeschiedener NiRe-Barriere (20( % Re) nach ½ h Tempern in Formiergas bei 200 C TSV-Prozess: Galvanische Cu-Füllung von Sack- löchern (Ø 10 μm, Aufweitung 16 μm, ca μm tief, Aspektverhältnis ca. c 7:1) aus kommerziellem Elektrolyten (Rohm und Haas) mittels Gleichstrom. (Die Abscheidung erfolgte auf einer PVD-Cu-Vor- metallisierung (Rücksputtern) mit Tantalnitrid- und -Tantal-Barriereschicht.) 20

23 Forschungskompetenz Chemisch Mechanischess Polierenn (CMP) Aufgabenbereiche: 1. Herstellung von Cu-Damaszener-Strukturen z.. B. für die Chipverdrahtung auf Basis einer Kupfermetallisierung 2. Planarisierung von Oxid- und Metallschichten 3. Dünnen von Substraten Prozeßbeschreibung: Ausgangszustand: Wafer mit Grabenstrukturen im Dielektrikum, gefüllt mit Diffusionsbarriere und Kupfer 1. Schritt: Planarisierung des Kupfers 2. Schritt: Cu-Politurr bis Diffusionsbarrieree 3. Schritt: Politur derr Diffusionsbarriere Wirkmechanismen: Chemische Aktion = Modifizierung der zu polierenden Oberfläche durch die Poliersuspension (Slurry). Mechanische Aktion = Abtrag der modifizierten Schicht durch die Abrasiva zwischen Pad und Wafer. Planarisierung = Ergebnis der stärkeren Einebnung der erhabenen Bereiche im Vergleich zu den Vertiefungen. Abtragsrate und Selektivität werden im Prozess durch die chemischen Komponenten der Slurry, die mecha- nischen Eigenschaften/Korngrößenverteilung der Abrasiva sowie die Prozessführung bestimmt. Additive sind z. B. Puffersubstanzen, Inhibitoren, Netzmittel, Komplexbildner und Stabilisatoren. Elemente einer CMP-Maschine: Beispiel: Poli 762 von G&P Konditionierer für das Pad Polierkopff mit Waferhalte Platen mit Pad Slurryzuführung Anwendungsbeispiele aus der Forschungskooperation mit dem Leibniz-IFW Dresden Cu-Elektromigrations-Teststrukturen in Silizium l.u.: Kontaktpad; re.o.: Leiterbahn im Querschnitt Vergrabene Cu-Strukturen eines SAW-Bauelementes imm piezoelektrischen Substrat 21

24 Aufgabenbereiche: Messtechnik: Messmethoden: Kompetenzbereich Fourier-Transformations-Infrarot-Spektroskopie (FTIR-Spektroskopie) Spektroskopischee Charakterisierung von Festkörpern, Flüssigkeiten und Gasen als reine Phasen sowie ann deren Grenzen mit Trans- missions- und Reflexionsmesstechniken vom sichtbaren Spektral- bereich bis zum fernen Infrarot (VIS NIR N MIR FIR) Untersuchung von Festkörperoberflächen und schichten, ihrer Eigenschaften undd ihres Verhaltens bei Prozessenn in der Halbleiter- technologie (CMP, elektrochemische und Ätzprozesse) Bruker FTIR-Spektrometer Vertex 80v, Gerät G ist evakuierbar Detektoren f. MIR: DTGS/MCT; FIR: DTGS; D NIR: InSb Nicolett FTIR-Spektrometer Modell 205 Detektor für MIR: DTGS Bruker A121 Polarisationseinheit Bruker A513 Reflexionseinheit mit variablen Winkel Bruker A517 ATR-Multireflexionseinheit Pike Map300 Mapping-Einheit für Reflexions- und Transmissions- messungen an Wafern bis zu 300 mm DurchmesseD er Transmissionsmessungen mit dem Vertex 80v im Vakuum möglich Reflexionsmesstechniken an Festkörpern, z. T. im Vakuum möglich Äußere Reflexion mit festem (35,45, 50, 55 ) ) o. variablem Ein- Innere Reflexion (abgeschwächte Totalreflexion,, ATR) z. T. Vak. fallswinkel 13 bis 83 o. mit dem Wafer-Mapperr (auch Transm.) o Mehrfachref flexionselemente 45 aus a Si und ZnSe o Si-Einfachre eflexionselement; auchh f. Flüssigkeiten geeignet Bruker Vertex 80v FTIR-Spektrometer (li.)mit der Pike-Map300-Einheit in der Zusatzprobenkammer XSA (re.) MIR-Spektren von Silizium ohne und mit 465 nm SiO 2 MIR-Spektren von 2-Propanol 22

25 Kompetenzbereich Elektrische Charakterisierung vonn Schichten, Materialien und Bauelementekomponenten der Mikroelektronik Aufgabenbereiche und Methoden Wafer-Level-Charakterisierung Ausstattung: Waferprobe PA200 mit Wafermapping 8 -Thermoc chuck ( C) Max. 6 Einzelsonden SMU KI236, KI237 (1100V) Parameterte ester HP4140B LCR-Meter HP4284A HF-CV-Met er KI590 QS-CV-Met ter KI595 Applikationen: Leckstromanalyse Durchbruchsmessung (TZDB) CV-Charakterisierung Schichtwid.-Messung (van der Pauw) Transistorkennlinien Hochtemperaturmessung Applikationen: Ionendriftanalyse (TVS, BTS) Elektromigrationstests Lebensdaueruntersuchung (TDDB) Software Metrics-ICS, Testpoint I / A Capacitance in pf Bias in V Ausstattung: Waferprobe PM5 Thermochu ck HCC6022 ( C) Max. 4 Einzelsonden SMU KI2388 (1A) Parameterte ester HP4140B LCR-Meter HP4284A leakage current / A TVS-Plot 2.5E E E E E E E E- 11 1E Bias / V E E E-08 U / V

26 Messungen unter Feuchteeinfluss Ausstattung: Waferprober PLV50 in Vakuumkammer Feuchteregelung (0 80%% RH) 4 -Thermochuck ( C) 4 Einzelsondenn Parametertester 4200-SCS (3xSMU, CVU, Pulsgenerator, Oszilloskop) SMU KI237(1100V) Software KITE Applikationen: Untersuchungen von feuchteempfindlichenn Materialien (Low-k-Dielektr., org. HL): Permittivitätsmessung Bestimmung der Feuchteaufnahme Lebensdaueruntersuchung Schichtwiderstandsmessung Ausstattung: CDE ResMap Chuck Applikationen: Schichtwiderstandsmessung (Salow) 24

27 a) NIST-Struktur b) Querschnittt Blech-Struktur c) Querschnitt Spalt-Struktur Forschungskompetenz Untersuchungen zur Elektromigration Hauptanwendung der Elektromigrations- (EM-) Untersuchungen am IHMM ist die Evaluierung des Materialtransports an der Grenzfläche Kupferdiffusionsbarriere/Kupferleitbahn. Messplatz Der zur Verfügung stehendee Messplatzz besteht aus einem Hochtemperatur-Chuck (bis 600 C), einer Stickstoffspülung zur Erzeugung einer trockenen und sauerstoffarmen Atmosphäre sowie einer Source Measure Unit der Fa. Keithley (SMU 238, max. 1 A) mit der die elektrischee Stressung durchgeführt wird. Für die elektrische Kontaktierung der Probe stehen 4 Messsonden zur Verfügung. Das Bild rechts zeigt den Hochtemperatur-Chuck mit einer Probe, die Stickstoffspülung sowie die Messsonden. EM-Messplatz Teststrukturen NIST-Struktur Es handelt sich dabei um Liniensturkuren unterschiedlicher Länge L und Breite, die nach dem National Institut of Standards and Technology (NIST) benannt sind. Um einen Frühausfall am Übergang zwischen den Kontaktstellen und der Leiterbahn zuu vermeidenn werden s. g. Babel- mit Tower-Strukturen verwendet. Das Bildd unten links zeigt eine e typische NIST-Struktur Babel-Tower-Strukturen an den Kontaktstellen. Blech-Struktur Die Blech-Struktur wurde nach ihrem Erfinder I. A. Blech benannt. Charakteristisch für die Blech-Struktureanschließend in der Leiterbahn fortgesetzt wird, wo es zum MaterialtranM nsport kommt. Infolge ist, dass der Strompfad zunächst in demm Barrierematerial verläuft und dessen kommt es zu einerr Verkürzung der Leiterbahn bis ihre minimale Länge, die s. g. Blech-Länge, erreich wird. Spalt-Struktur Die Spalt-Strukturen (engl. slit structure) wurden am IMEC, Belgien entwickelt. Der Materialtransport findet bei dieser EM-Struktur an der Grenzfläche Barriere/Kupferleitbahn statt. Im Vergleich zur Blech-Struktur tritt die Elektromigration schon bei niedrigeren Temperaturen und kleinerenn Stromdichten auf, wodurch die Messzeit M verringert werden kann. 25

28 Forschungskompetenz Plasmadiagnostik Aufgabenbereich: Anlagentechnik: Messmethoden: - Grundlagenuntersuchungen zu Plasmaparametern - Untersuchung der Wechselbeziehung zwischen Plasmaparametern und dem Ergebnis von Schichtätzung bzw. -abscheidung - Modellierung von Plasmaprozessen mit dem Ziel APC/AEP - Diverse Plasmareaktoren für PE-CVD, PE-PVD und RIE - Optische Emissionsspektroskopie (OES) - Quadrupol-Massen-Spektroskopie (QMS)) - Selbsterregte Elektronen-Plasma-Resonanzspektroskopie (SEERS) - Schichtdickenmessung mit Tastschnittgerät, AFM bzw. REM Beispiele: PE-PVD : Modellierung von Beschichtungsprozessenn in Strukturen mit hohem Aspektverhältnis RIE: Anwendung der OES zur Charakterisierung von Ätzprozessen PVD: Messung der Einfallswinkelverteilungg des Teilchenstromes am Substrat Einfallswinkelverteilungen Cu-Sputtern für verschiedene Substratpositionen 26

29 Forschungskompetenz Detektion und Quantifizierung vonn Cu-Ionen mit der Triangular Voltage Sweep Methode Detection & Quantification of Cu Ions using TVS Method Für die Zuverlässigkeitsanalyse von Barrieresystemen in Kombination mit einem Dielektrikum bietet die TVS-Messung eine Möglichkeit, auf elektrischem Wege Cu inn sehr geringer Kon- anderen zentration (< cm - ²) zu detektieren und auch zu quantifizieren. Dies ist bei keiner elektrischen Messung der Fall. BTS-CVV Messungen erfassen vor allemm Alkali-Ionen und erwie z. B. lauben keine Trennung zwischen verschiedenen Ionenarten; andere Messungen TDDB oder C(t) ergeben keine quantitativen Aussagen und werden von einer Vielzahl an- im Zu- derer Parameter beeinflusst. Dies ist insbesondere beim Test von Barrieresystemen sammenhang mit ultra-low-k Materialien von erheblichem Nachteil. Prozesseinflüsse können bei TDDB-Messungen den Leckstrom stark ansteigen lassen, ohne dass dies auff eine Cu- Diffusion zurückzuführen wäre. Untersuchungsmethoden wie w analytische STEM, SIMS, EELS, XPS etc. erfordern eine aufwändige Probenpräparation bzw. besitzen nichtt die erfor- derliche Nachweisempfindlichkeit für soo geringe Konzentrationen. Cu SiO2 barrier Silicon Schema der MOS-Teststruktur. Schematic vieww of a MIS test structure. Die Bilder unten zeigen den zeitlichen Verlauf der am Gate angelegtenn Messspannung, so- und für wie den C-U-Verlauf während der TVS-Messung (blaue Kurve). Bei Spannungen um 0 V beginnt die Drift der für eine ungestresste (grüne Kurve) eine extrem gestresste Probe Alkaliionen, resultierend in einem ersten ionischen Peak. Mit größeren negativen Spannun- ioni- gen setzt ggf. die Drift der diffundierten Cu-Atome ein, resultierend inn einem zweiten schen Peak. Mithin lassen sich Alkali-Ionen Peaks ist der Anzahl an detektierten Ionen proportional. und Cu-Ionen voneinander getrennt erfassen. Das Integral eines ionischenn Zeitlicher Verlauf der Spannung am Dot während der TVS Messung. Gate voltage as a function of time during TVS. Graph einer TVS-Messung (mit 0,1 V/s bei 300 C) an einer MIS-Struktur mit PVD TaN Barriere. TVS plot for a stressed s PVDD TaN barrier 300 C, 0.1 V/s. 27

30 Anzahl der gedrifteten Cu Ionen über der Stresszeit bei unterschiedliche Temperaturen. Number of drifted Cu ions vs. time.. Das Bild unten links zeigt die Linearität der Cu-Drift und den erheblichen Temperatureinfluss. Es wird deutlich, dass sich so auch Temperatur-Beschleunigungs-Faktorenn ermitteln lassen, l d. h., dass man nicht unbedingt auf TDDB-Experimente angewiesen ist, die anfällig für Einflüsse anderer Art sind. Das rechte Bildd zeigt, dass sich einer steigenden Anzahl von Cu- Ionen im Dielektrikum grundsätzlich auch ein bestimmtes Leckstromniveau zuordnen lässt. Leckstrom als Funktion der Cu-Kontaminationn in einem Dielektrikum, für verschiedene Barriere- materialien. Leakage current as a function of Cu ionic contamination in a dielectric, d measured with TVS. Many investigations of the Cu diffusion barrier performance involve analytical techniques in combination with thermal cycling. However, the movement of Cuu ions into a dielectric caused by electric fields, which is significant, is not accounted for. Classical electrical stressing like time dependent dielectric breakdown (TDDB), time zero dielectricc breakdown (TZDB) or BTS- comparison of a certain number of diffusedd Cu ions can be made between different barriers. For this purpose, the TVS-method in combination with BTS has been b established. First Figure above shows the schematic of a MOS structure withh a Cu / barrier metal gate. A CV provide information on the device degradation due to a Cu field drift, but no quantitative thermal oxide, ~ 100 nm, is preferably used as a dielectric, because b itss high breakdown strength enables testing at elevated temperatures and electric fields, thereby allowing movement of Cu ions through an even robust barrier, like a TaN reference barrier, without breaking down the oxide yet during BTS. Immediately after stressing the sample at elevated temperatures and electric fields (BTS), thee TVS measurement iss carried out, without cooling or transferring the sample to another chuck. An analogue voltage rampp of ~ 0.1 V/s is required for a successful TVS measuremen nt. The figure right shows the TVS plot of a stressed TaN sample inn the capacitance mode. In a first TVS measurement after BTS (blue curve) the drift of Na and K ions starts at voltages of ~ 0 V, resulting in a first ionic peak. At higher negative voltages, the drift of Cu ions begins, resulting in a second ionic peak. Thus, alkaline and Cu ions mayy be detected separately. The integral of an ionic peak is directly proportional to the number of o ions corresponding to that peak (Cu or Na, K). The figure left shows the number of drifted Cu ions into a thermal oxide (100 nm) as a function of BTS time ( drift velocity ). The factt that this number increases linearly with BTS time can be employedd to extrapolate n Cu to t an arbitrary BTS time t or operation period. The figure right illustrates that an increasedd number of drifted Cu ions causes a certain leakage level inside a dielectric. [1] WOJCIK, H. et al.: Characterization of barrier and seed layer integrity for copper interconnects. Semiconductor Conference Dresden, 2011, avail. at IEEExplore [2] WOJCIK, H. et al.: Electrical Evaluation of Ru-W(-N), Ru-Ta(-N) and a Ru-Mn films as Cu diffusion barriers. In: Microelectronic Engineering (2011), in press, ISSN

31 Kompetenzbereich Fotolithografie Aufgaben und Leistungen: : Strukturübertragung auff Si-, SiO-, SiN-, Polymer- und Metallschichten zum nachfolgenden nass- bzw. trocken-physikalisch hen Materialabtrag minimale Strukturgrößen: 0,4 μm chemischen oder auch Herstellung von Lift-off-Strukturen für Metalldicken bis 3000 nm, Aspektverhälnis 1, minimale Strukturgröße 0,8 μm Resistmasken für galvanische Abscheidung (ECD) bis 100 μm dick Aspektverhältnis 8 : 1 Beidseitige Strukturierung der Wafer bzw. beliebiger Substrate (Lagefehlerdifferenz <10 μm) Materialien: Diverse Positiv-, Negativ- und Umkehr- BCB, Flüssig- und Festresiste, Spezialresiste für Sonderanwendungenn (z.b erhöhte Ätzresistenz) Beschichtung mittels Spin-on, Tauchen und Ziehen oder Laminieren Anlagentechnik: Spin-on-Beschichter, Fa. CONVACC Spin-on-Beschichter mitt GYRSET - resiste, photosensitives Polyimid und Prinzip, Fa. Suess SVG - Resistcoater Model 8626 PC/ 8636 HPO MaskAligner AL-6, Fa. Electronic Visions Sprühentwickler Fa. CONVAC Fotolithografische Maske für ECD-Schichten bis 100 μm Schichtdicke Negativlack SU-8 zur Herstellung von Strukturen mit hohem Aspektverhältniss Wafergröße: 3 ", 4 ", 5 ", 6 " (8 ") RIE-Ätzmaske mit m Positivresist AZ 5214E 29

32 Forschungsdienstleistung Mikrodrahtbeschichtung Zielstellung Beschichtung von Bonddrähten (u.a. Au- und Cu- Bonddrähte, abb 25 μm Durchmesser) mit adhäsiven Schichten zur Verbesserung der Bondbarkeit (u.a. Al, Ti, nm) Ermöglicht die prozesssichere elektrische Kontaktierung mit Cu- C bzw. Au-Bonddrähten (US-Wedge/Wedge-Verfahren) bei Raumtemperatur ohne weitere Maßnahmen Patentiertes Verfahren (Patent-Nr. DE C 2 der TU Dresden) Ersatz von Aluminium-Drahtt durch Au- bzw. Cu-Draht (verbesserte elektrische und monometallische Kontaktsysteme möglich keine mechanische Eigenschaften, Alterung) Erhebliche Verbesserung der Maschinenstandzeitt (Lagerfähigkeit) von Kupferdrähten Anlage Pumpstand: B30 (Drehschieberpumpe, Turbomolekularpumpe Leybold) Basisvakuum: Pa Stromversorgung: DC-Generator ADL TS 15, 1..5 kw Pulseinheit Advanced Energy Sparcle 20 Spuleinheit: Drahtdurchmesser 25 bis 2000 μm Doppelflanschspulen (2 Durchmesser) max. Drahtlänge je Charge 100 m Target: Al-Rohrtarget, Durchmesser 22 mm Leistung 150 W, Abscheiderate 15 nm/( min. m) Präparations-Schicht Al-Schicht Au-Draht- material Quelle: IWM-Halle Experimentalanlage B30 FIB-Crossection durch Draht/Schicht-Interface Bondkontakt mit Al-beschichtetem Golddraht Al- beschichteter Kupferdraht K Oberfläche (im Hintergrund ein Goldkeramik-Substrat) 30

33 Forschungsdienstleistung Oxydation von Silizium Am IHM stehen 2 Verfahren zur thermischen Oxydation von Silizium-WafS fern zur Verfügung: - Trockenoxydation: Durchführung: realisierte Oxiddicken: - H 2 O-Oxydation: Durchführung: realisierte Oxiddicken: 100 C; reiner Sauerstoff nm 1000 C; H 2 O-Dampf mit Stickstoff oder Sauerstoff als Trägergass nm Anlage: Programmgesteuerter Prozessofen PEO-604 Hersteller: ATV Technologie GmbH Vaterstetten Anwendungen: - Dünne SiO 2 -Schichten (bis 140 nm) ) als Basis für den Aufbau von Metallstrukturen - Dicke SiO 2 -Schichten (bis 1500 nm) ) als Dielektrikum für Damaszener Strukturen bzw. als Hilfsschichten für Lithografie, RIE- für Strukturierung und Maskierungen - Bereitstellung von nicht leitenden Oberflächen mit sehr geringer Rauigkeit die Untersuchung von chemischen Oberflächenreaktionen Prozessofen PEO-604, ATV Technologie GmbH Anwendungsbeispiel: Si-Oxydation zur Minimierung von Grabenbreite 31

34 Forschungsdienstleistung Trennschleifen Vorhandene Ausrüstungen: - Automatic Dicing Saw DADD 2H/6T (Disco) - Automatic Cleaning System DSC 6 (Disco) - Wafer Mounter (Alphasem) Parameter: - Maximale Substratabmessungen: 152,4 mm Durchmesserr bzw. 105 mm Kantenlänge - Maximale Materialdicke: 3 mm - Mögliche Genauigkeit: +/ /- 5 μm - Schnittbreiten: 300 μm μm Bearbeitete Materialien: Silizium, Ferrit, Glas, Quarz, Saphir, Keramik, Galliumarsenid,, Lithiumniobat 32

35 2.2 Forschungsgebiete, Laboratorien und Kompetenzen an der Professur Mikrosystemtechnik Übersicht Werkstoff- & Oberflächenanalytik Metallografie & Zuverlässigkeitstestung Ink-Jet-Druck Nanoimprinttechnologie S S S S AOW V organische Elektronik & Elektronik auf Plastik Gassensorik Elektromechanische Systeme Sensorsignalverarbeitung & intelligente Systeme medizinische Sensorsysteme 33

36 Kompetenzbereich Rasterelektronenmikroskopie und Oberflächenanalytik Die Erfahrungen und Ausrüstungen werden zum einen begleitend zur Entwicklung von Bauelementen der Gassensorik, der organischen Elektronik und von SAW-Bauelementen eingesetzt (s.u.). Zum anderen steht das Potential der Labore Kooperationspartnern dess Instituts bei der Lösung projektbezogener Aufgaben sowie in Dienstleistungen zur Verfügung. Besondere Erfahrungen liegen zu nachstehenden Schwerpunkten vor: Die Kombination von lichtoptischen und elektronenoptischen Untersuchungen erlaubt es, die lateralen und auch vertikalen Strukturen verschiedenster Werkstoffe und Materialverbunde bis in Dimensionen von ca. 10 nm zu charakterisieren. Mit Hilfe der Oberflächenanalyse mit XPS und AES kann qualitative und quantitative chemische Analyse von Oberflächenbereichen bis in ca. 3 nmm Tiefe durchgeführt werden. Durch Kombination mit Ionenabtrag (Ar + ) können auch Tiefenprofile bis in ca. 100 nm Tiefe hergestellt werden. Gibt es keine hohen Anforderungen an diee laterale Strukturauflösung, können mit XPS auch Aussagen über chemische Bindungen getroffen werden. Ein besonderer Erfahrungsbereich ist die Analytik von organischen Werkstoffen. Self assembly layer wurden erfolgreich mit XPS hinsichtlich chemischer Anbindung und Orien- tierung zur Unterlagee charakterisiert. Für Werkstoffe der organischen Elektronik werden XPS- Es Messungen am Valenzband zur Aufklärung der elektronischen Struktur durchgeführt. liegen auch Erfahrungen vor, diese organischen Materialien durch d Tiefenprofilanalytik auf ihre Reinheit über größere Dickenbereichee zu untersuchen. Hochauflösendes Rasterelektronenmikroskop mit Feldemissionskathode - Oberflächeninspektion Parameterbereiche: - Beschleunigungsspannung 0, kevv - hochaufgelöste Abbildung empfindlicherr Oberflächen mit niedriger Anregungsenergie - lateralee Auflösung 2,5 nm bei 1 kev, 1,5 nm bei 15 kevv 34

37 Photoelektronenspektrometrie (XPS)) und Augerelektronenspektrometrie (AES) prozentuale Elementzusammensetzung - Tiefenprofil - Aufklärung des chemischen Bindungszustandes Parameterbereiche: - Informationstiefe nm - laterale Auflösung 300 μm für XPS ca. 100 nm für r AES - Elementnachweis für Z Binding Energy (ev) Sputter Time Messung der Oberflächenenergie (Tropfentest) Die Oberflächenenergie einer Schicht oder eines Fest- körpers beeinflusst wesentlich die Wechselwirkung zur Um- welt bzw. zu weiteren darauf aufzubringenden Materialien. Für viele Anwendungen ist nebenn Kenntnis der der summarischen Oberflächen- energie auch noch der polare Anteil von Interesse. Beides kann unkompliziert mit der Tropfenmethode bestimmt werden, indem die Tropfen- spreitung verschieden polarer Flüssigkeiten auf der zu cha- rakterisierenden Oberfläche gemessen wird. 35

38 Kompetenzbereich Zuverlässigkeitstestung und Metallografie Die Langzeitzuverlässigkeit von Werkstoffverbunden, Bauelementen und Baugruppen wird in beschleunigten Tests unter Wärme- undd Klimabelastung untersucht. Siee kann mit elektrischen Belastungen und in-situ-messungen kombiniert werden. Typischh ist die Charak- terisierung mit werkstoffanalytischen Methoden. Zur Charakterisierung von Werkstoffverbunden, Bauelementen und Baugruppen sowohl im Zustand wie hergestellt als auch nach unterschiedlichen Belastungen werden die Proben für die werkstoffanalytische Untersuchung mit metallografischen Methoden aufbereitet. Folgendee Untersuchungen werden vorgenommen: Zuverlässigkeitstestung - Funktionstests und Belastungsmodi und Alterungsverhalten von Leistungsparameter Bauteilenn unter Wärme, konstante Temperatur Feuchte und Temperatur- - Aushärtenn von Deck- schnelle Temperaturwechsel schichtenn (DIN( IEC , N a ) (DIN( EN 60068) wechselnn -70- C bis 300 C - Tempern von Bauteilen - Wasseraufnahme von -60- C bis 220 C, t ü 3 min Polymeren, Keramiken o.a. Temperaturwechsel unter definierten Feuchte- feuchte Wärme, konstant und (DIN( IEC , N b ) bedingungen -70- C bis 180 C (mit ca. - Haftfestigkeit von 4 K/min) Beschichtungen nach Temperaturzyklen und/oder zyklisch z Feuchteaufnahme (DIN( IEC , C a, - Korrosionuntersuchungen DIN IEC , C b ) unter definierten C bis 90 C Feuchtebedingungen Metallografie - Quer- undd Schrägschliffpräparation (bis 2 ) - Zielpräparation - Präparation komplizierter Materialverbunde - Gefügeätzen - Lichtmikroskopie mit digitaler Bildbearbeitung und -dokumentation - Laterale Auflösung >1 μm (Vergrößerung bis 1000fach) 36

39 Forschungskompetenz Nanoimprint (an den Professuren Halbleitertechnik, Mikrosystemtechnik und Optische Elemente und Systeme gemeinsam entwickelte Kompetenz) Die Nanoimprintlithographie (NIL) ist ein alternatives Lithographieverfahren zur Erzeugung von Strukturen sehr hoher Auflösung oder sehr hoher Aspektverhältnisse durch rein mechanisches Prägen oder Abformen. Die NIL kann somit sowohl als hochaufgelöste Lithographie als auch für die direkte Strukturierung komplexer Strukturen für die Mikrosystemtechnik verwendet werden. Aufgrund der notwendigen Prozesskette, wird dieser Forschungsbereich in Zusammenarbeit der Professuren HLT, MST und OES interdisziplinär organisiert. Weiterhin gibt es eine enge Kooperation mit dem Fraunhofer Institut für Photonische Mikrosysteme (IPMS) in Dresden zur Integration und Überführung der NIL in eine Fertigungstechnologie für polymere, photonische Mikrosysteme. Der aktuelle Schwerpunkt der Forschung am IHM liegt auf grundlegenden Prozessen für das Nanoimprinting sowie der UV-basierten NIL (UV-NIL). Anwendungsgebiete am IHM photonische Mikrosysteme (Projekte siehe 4.2 u. 4.4) oganische Elektronik / Tranistoren (Projekte siehe 4.2) Alternative Maskentechnik Geräteentwicklung mit Industriepartner Forschungsbereiche Stempelfertigung und grundlegende Prozesskette Masterherstellung in Silizium durch Tiefenätzen mit einem und mehreren Höhenniveaus Masterherstellung in Silizium im Sub-100 nm Bereich Abformung polymerer Nanoimprintstempel Herstellung von gebondeten Nanoimprintstempeln (optimiertes Prozesshandling) Antihaftbeschichtung aus der Gasphase sowie Reinigung der Master Imprinttechniken neuartige Imprintkonzepte (UV-NIL mit Si-Masterstrukturen) Stamp-and-Repeat UV-NIL Hybride Lithographie-Nanoimprint Techniken (Eliminierung Polymerrestschicht) Lift-Off-Techniken Strukturübertragung / Lithographie mittels polymerer UV-NIL Stempel Simulation Simulation des Prozessverhaltens polymerer Stempel 37

40 Beispielergebnissee Silizium-Imprintstempel mit zwei Höhenniveaus und 300 nm Strukturgröße Semipermeable Polymer- Membran mit hexagonalem Gitter und zwei Höhenniveaus [Kooperation IPF] Elektroden fürr organische Kurzkanaltransistoren (Blick auf die Imprintstruktur) Polymerer Mikroringresonator Array polymerer Mikroringe mit Füllstrukturen zur Prozess- optimierung [Kooperation IPMS] Silizium Master im sub-100-nm- Bereich [Kooperation GeSiM] Dual-Damascene-Strukturen hergestellt mittels NIL [Kooperation GeSiM] Beispiel für die Instabilitätt polymerer Imprintstempel mit zu großem Aspektverhältnis Hydrophobierte Oberfläche durch Silan basierte Antihaftschicht Simulation der Deformation polymerer Stempel bei der NIL [Kooperationn GeSiM] 38

41 Forschungsgebiet Organische Elektronik & Elektronik auf Plastik Die Entwicklung organischerr Halbleiter mit passablen elektronischen Eigenschaftenn hat diese Materialien für die Herstellung großflächiger, mechanisch flexibler und sehr billiger elek- Emitter tronischer Bauelemente interessant gemacht. Der Einsatz organischer optischer (oleds) in Anzeigen ist schon weit fortgeschritten; die Nutzung von Transistoren (ofets) ist im Vergleich dazu weniger etabliert, u.a. wegen der deutlich eingeschränkten Leistungs- fähigkeit organischer Schaltelemente im Vergleich zu konventioneller Si-Halbleiterelektronik. Ziel ist es, diesen Flaschenhals zu überwinden und die Anwendung der neuen Materialien in großflächigen, flexiblen Bauelementenn und Systemen vorzubereiten. Einen wesentlichen Schwerpunkt bildet dabei die Abscheidung und Strukturierung der Materialien aus der Flüssigphase als Voraussetzung für sehr preiswerte Massentechnologienn wie das Drucken. Aufbauu von zwei ofets auf flexiblem Träger ofet mit Tintenstrahl-gedruckter Halbleiterschicht Neben organischen Halbleitern werdenn auch organische undd nanodispergierte anorganische Leiter entwickelt und untersucht. Diesee können u.a. in RFID-Transpondern mit gedruckten Antennen eingesetzt werden. Außerdem werden organische Isolatoren erprobt, die auch mit Drucktechniken sehr dünn und ausreichend homogen abgeschieden werden können. Transistorkennliniee eines ofets mit P3HT als organischem Halbleiter Goldnanopartikel mit Thiolliganden zur Stabilisierung der d Nanodispersion Ein weiterer gegenwärtiger Schwerpunkt ist die Herstellungg von Kurzkanaltransistoren mit Kanallängen unter 200 nm durch den Einsatz der Nano-Imprint-Lithografie mit denen wesentlich schnellere Schaltungen als gegenwärtigg verfügbar aufgebaut werden können. Für die Bearbeitung dieser Forschungsaufgaben stehen alss spezielle Ausrüstung zur Verfügung: Polymer-Technologie-Labor, PC-gesteuerter Transistormeßplatz mit Prober in Dark-Box, ebenso mit u..a. mit Spin-Coater mitt Schutzgasspülung, Glove-Box- Präparationsstrecke, Schutzgasspülung. 39

42 Forschungsgebiet Gassensorik Chemische Sensoren können über die Auswahl und Gestaltung ihrer Funktionsschicht auf der einenn Seite in Richtung hoch sensitiver und selektiver Bauelemente optimiert sein, bieten auf der anderen Seite aber auch die Möglichkeit für den Nachweis ganzer Speziesgruppen oder Wirkungsgruppen, oder auch von Einzelspeziess mit gezielter Ansprechschwelle. Durch die Auswahl des Übertragerprinzips und seine Auslegung ist zumm einen einee Optimierung der Sensorwirkung und zum anderen eine Anpassung an die konkrete Meßaufgabe und ihrer Randbedingungen möglich. Schwerpunkte der Forschungsarbeiten am IHM sind - Aufbau optimierter Über- tragerstrukturen für die Gassensorik - Abscheidung und Opti- und organischer Wirkfilme für die Gassensorik - Entwicklung von Sensor- modulenn mit integrierter Meßumgebung und Schematischer Aufbauu eines Erfassung der mierung anorganischer chemischen SAW-Sensors Betriebsparameter - komplexee dynamische Bewertung der Sensor- und Gasgemischen auch bauelemente unter Exposition zu ver- Schadgasen schiedenen in Langzeitversuchen - Sensorsignalaufbereitung - Entwicklung von Sensor- Signalaufbereitung, -speic systemen mit integrierter Meßsignale eines NH 3 3-Sensors herung und -auswertung auf entsprechende Gaspulse Sensormeßplatz Meßkarte für bis zu 4 SAW-und Leitfähigkeitssensoren Für die Bearbeitung dieser Forschungsaufgaben stehen als spezielle Ausrüstung zur Ver- fügung: Vakuumverdampfungseinrichtung (B30) für organische Wirkfilme, Sputter-/Elektronenstrahl- mit 2 automatisier- ten Meßplätzen für die Gassensoruntersuchung bei Begasungg mit verschiedenen Schad- gasen (u.a. NO 2, SO 3, H 2 S, CO, NH 3, O 3,..) sowohl für elektrische Sensoren (Leitfähigkeit, Kapazität, frequenzabhängige Admittanz) als auch für SAW-Sensoren (Mittenfrequenz, Lauf- verdampfungseinrichtung (FHR) für anorganische Wirkfilme, Sensorlabor zeit, Dämpfung, 4-Pol-Parameter). Die Präparation der Transducer erfolgt mit Unterstützung der Professur P HLT in den Mikro- technologielaboren des IHM und bei Kooperationspartnern. 40

43 Forschungsgebiet Medizinische Sensorsysteme Im Bereich der Medizintechnik besitzen vor allem Systeme des In-vivo-Monitoring und der Invivo-Diagnostik ein großes Anwendungspotenzial. Sie versprechen eine verbesserte medizinische Versorgung bei gleichzeitigen Kosteneinsparungen. Neue Diagnose- und Therapieansätze, die eine bessere Patientenversorgung ermöglichen, können nur durch innovative Lösungen entstehen. Die Arbeiten am IHM haben medizinische Mikrosysteme mit einer einheitlichen digitalen Mikrosystem-Sensorschnittstelle zum Ziel, die die unkomplizierte Einbindung in Sensornetzwerke zur Messung von klinisch und physiologisch relevanten Größen gestatten (Plug and Play), sensorische Mikrosysteme an telemetrische Übertragungssysteme verbinden kann, die Abarbeitung von Algorithmen und Datenstrukturen hinsichtlich Signalauswertung, Übertragung und Sicherheit (Verschlüsselung, Redundanz, Kompression etc) auf MSTgeeignete Signal- und Mikroprozessoren ermöglicht. Damit können völlig neuartige kompakte, miniaturisierte, portable und integrierte Diagnosegeräte bis hin zu intelligenten Prothesen geschaffen werden. Im Zentrum der Datenübertragung steht ein Low-Power Prozessor, der die Verbindung zwischen Sensoren und Kommunikationskanälen herstellt. Der Prozessor bewältigt bei freier Programmierbarkeit erste Aufgaben der Signalvorverarbeitung, z.b. Trendanalysen oder die Detektion von Schwellwertüberschreitungen. Gleichzeitig minimiert er durch gezieltes Hinzuschalten von Sensoren den Energiebedarf. In der Implementierungsphase der Algorithmen werden werden diese unter Berücksichtigung der Zielarchitektur zunächst simuliert und ggf. modifiziert (z.b. beim Auftreten von Quantisierungsfehlern). 41

44 Forschungsgebiet Sensorsignalverarbeitung und intelligente Mikrosysteme Der Fortschritt in der Halbleiter- und Mikrosystemtechnik, vor allem aber auch in der Softwaretechnologie hat alle Voraussetzungen geschaffen, um große Rechen- und Speicherkapazitäten direkt am Meßfühler anzuordnen. Damit können in einem intelligenten Sensor alle Algorithmen integriert werden, die zur Auswertung von Meßwerten benötigt werden. Es hat sich gezeigt, dass ein großer Gewinn bei der Signalverarbeitung erzielt werden kann, wenn die eigentlich interessierende Information, die in den Meßwerten zwar vorhanden ist, aber von Störungen und anderen Einflüssen überlagert und verfälscht wird, sensornah extrahiert und oftmals auch komprimiert werden kann. Schwerpunkte der Forschungsarbeiten am IHM sind: HL fix<32,28> fix<16,12> Untersuchung der Hardwarecharakteristiken von Signalverarbeitungsalgorithmen Integration von Signalverarbeitungsverfahren in Mikrosysteme Entwicklung leistungsfähiger Mikrosystemhardware Mikrosensor Mikrosensor Mikroaktor S0 M1 M2 M3 Intelligenz, Interface Telemetrie, Gateway Empfänger/ Sender, PC Kabel drahtlos S1 S2 S3 S4 Anwendung von Schwingungsdiagnosemikrosystemen in der Maschinendiagnostik Datenbank S5 Analyse von Mikrosystem-Schnittstellen; Mitarbeit am neuen MSD (Micro Systems Data)-Datenformat 42

45 Kompetenzbereich Elektromechanische Systeme Elektromechanische Systeme aus elektrischen, mechanischen und akustischen Teilsystemen, die miteinander in Wechselwirkung stehen, haben besonders in der Sensor-, Aktor- und Mikrosystemtechnik, im Präzisionsgerätebau, in der Elektroakustik, in der industriellen Messtechnik und in der Medizintechnik Bedeutung. Bestandteil des Fachgebietes sind auch spezielle Fragen der Präzisionsmesstechnik für Durchfluss, Dehnung und Beschleunigung mit elektromechanischen und elektroakustischen Wandlern. In der Lehre steht die Vermittlung einer anschaulichen, ingenieurmäßigen Entwurfsmethode für Studenten der Elektrotechnik und der Mechatronik auf der Grundlage einer schaltungstechnischen Darstellung im Vordergrund. Sie ermöglicht einen raschen Einstieg in die Lösung mechanischer, akustischer, hydraulischer und thermischer Probleme und deren Kombinationen. Durch Verbindung der Netzwerk-Simulationsverfahren mit Simulationsprogrammen auf Basis finiter Elemente durch Schnittbauelemente (also auf Benutzeroberflächenebene) wird die zeitökonomische Parameteroptimierung von komplexen mechatronischen Systemen im Rahmen der Vorausberechnung ermöglicht. Die Anwendung dieser kombinierten Simulation stellt weltweit einen aktuellen Forschungsschwerpunkt dar und wird gegenwärtig in die Lehre eingeführt. Industrielle Hauptnutzer dieser Entwurfsmethodik sind Entwickler von Schall- und Schwingungssendern, -empfängern,- filtern und aktiven Kompensationsystemen. Ein weiterer Schwerpunkt besteht in der Integration von Sensornetzwerken in textilverstärkte Verbundkomponenten für Leichtbauanwendungen. Gemeinsam mit dem Fraunhofer Institut für Photonische Mikrosysteme (Geschäftsfeld Lifetronics, Prof. Fischer) wird seit 2004 der Themenkomplex Integrierte Sensornetzwerke innerhalb des Sonderforschungsbereiches 639 der DFG bearbeitet. Das gesamte Sensor-Netzwerk wird dabei vom textilverstärkten Verbundwerkstoff eingeschlossen und ist Bestandteil einer Baugruppe. 10 mm Luft H, B V m,a T FE-Modell: V z x Mittelachse m,b V m V m Flusslinien Galfenolschicht μ r = 20 Luft Netzwerkmodell: 1 L Transformation: 2 R N m i 2L 0 u i u N I 1 i V N mw, mw, I m, W 1 R m,a 1 R 1 R m m,b V m,a V V m m,b u 2L 0 L x Kombinierte Simulation elektromechanischer Systeme, hier einer Flachspule 43

46 Fotolithografisch strukturiertes Aktorarray auf Basis eines aktiven Polymers Grundelemente Es werden grundlegende Entwicklungen zu aktorischen und sensorisches en Kompo-nenten durchgeführt. Siee basieren auf Untersuchun- gen der zum Teil noch unverstandenen Phänomene aktiver Polymere bei ihren Phasenübergängen. Den Schwerpunkt bilden mikrofluidische tionalitäten. sowie bildgebende Funk- Mikropumpe auf Basis aktiver Polymere 2.3 Forschungsgebiete, Laboratorien und Kompetenzen an der Professur Polymere Mikrosysteme Integriertee und hochintegrierte polymere Mikrosysteme erschließen e aufgrund ihrer besonderen Eigenschaften Anwendungsfelder, welche mit halbleiterbasierten Mikrosysteme nicht zugänglich sind. Das noch junge Forschungsgebiet beschäftigt sich mit Herstellungs- Poly- verfahren, Integrationstechniken, der Entwicklung von Bauelementen auf Basis aktiver mere sowie den Aspekten der Systementwicklung. Eine wesentliche Rollee spielt die Unterund an suchung und spätere technische Nutzung physikalischer Phänomene imm Inneren Grenzflächen aktiver Polymere. Herstellungsverfahren Einen Schwerpunkt bilden Synthese- und Strukturierungsverfahren für aktive Polymere sowie deren monolithische Integration in Kunststoff-Chips. Wir beschäftigen uns mit dem Rapid Proto-typing von funktionalen Kunststoff-Chips unter Nutzung des Heiß- lithografischer Verfahren, aber auch der Auf- bau- und Verbindungstechnik polymerer Mikrosysteme. prägens, der Softlithografie sowie weiterer Systementwicklung Dieser Bereich beschäftigt sich mit fun- von polymeren Mikro-systemen, so z.b. be- damentalen Fragestellungen der Entwicklung züglich System-integration und architektur, aber auch hinsichtlich potenzieller Anwen- dungsfelder. Entwicklungsschwerpunkte bil- Systeme mit visuell-physikalischen Daten- kanälen sowie Mikrofluidik-Prozessoren. den gegenwärtig hochaufgelöste bildgebende Taktiles Display mitt Aktorpixeln 44

47 2.4 Forschungsgebiete, Laboratorien und Kompetenzen an der Professur Optoelektronische Bauelemente und Systeme Technologie und Prozesse zur Entwicklung und Herstellung von Mikro-Opto- Elektro-Mechanischen Systemen (MOEMS) Die Professur OES hat Zugriff auf den neuen Fraunhofer IPMS Reinraum. Bulk Micromachining Surface Micromachining CMOS 1500 m² Reinraum Klasse 1-100, 6 Zoll Si Wafer 45

48 Entwurf, Simulation und Charakterisierung von MOEMS, Systementwicklung für Mikrospiegell Arrays, Mikroscan nner, Adaptive Optik Mikroscanner Chip mit resonantem Antrieb über Fingerstrukturen Herstellung der FingerstruktuF uren mittels Volumen-Mikromechanik nm (LH) 3 -bragg:r=98.8 % (LH) 4 -bragg:r=99.7 % 20 Ag/SiO 2 : R=98.7 % R1 R Wavelength / nm Piezoresistiver Sensor zur Positionsbestimmung eines Spiegels Reflectance / % 1800 Barcode-Leser auf der Basis von Mikroscanner rspiegeln 46

49 Charakterisierung und Test von MOEMS 3D-Untersuchung von Scannerspiegeln zurr Darstellung von Deformationsprofilen Laser-Projektion mittels Lissajous-Figuren 47

50 Nanooptik und Nanophotonik SLM Maskenbelichter für Leading Edge Mask Making bis zum 45 nm Technologie-Nodee Linien und Zwischenräumee mit 320 nm (oben) und 140 nm (unten)( Verschiebung von Belichtungskanten in 1,6 nmm Schritten durch Grauwertadressierung von Randpixeln. 48

51 2.5 Forschungsgebiete, Laboratorien und Kompetenzen an der Professur Nanoelektronische Materialien Der Lehrstuhl für Nanoelektronische Materialien befindet sich im Aufbau,, so dass bisher alle Forschungstätigkeiten an der NaMLab ggmbh stattfinden. Forschungsgebiet Kondensatordielektrika Materialien mit hoher Dielektrizitätszahl (High-k-Materialien) spielen eine immer wichtigere Rolle für Nanoelektronischee Bauteile. In konventionellen Halbleiter-Kondensatorenn wird die Information in Form von Ladung gespeichert. Um die Kapazität des Kondensators für f zukünf- zu tige Speichertechnologien d.h. für immer geringer werdende Abmessungen konstant halten, kann zum einen die Dielektrikumsdicke von konventionellem SiOO 2 oder SiON bis auf wenigee Atomlagen verringert, oder es können neue Materialien mit höherer Dielektrizitätszahl eingesetzt werden. Ähnliche Materialien werden auch für die nächsten Generationen von Hochleistungs- Schichten müssen auf der atomarenn Skala angepasst und u optimiert werden, um die transistoren, z.b. in Prozessoren undd anderen Logik-Produkten eingesetzt. Dielektrische Leckströme für immer geringere Dicken niedrig zu halten. Hier wurden eine Reihe von Förderprojekten initiiert, um Transistoren physikalisch und elektrisch zu charakterisieren und den Einfluss der neuen Materialien auf Leckstrom-Mechanismen, Geschwindigkeit und Durchbruchverhalten zu verstehen. Basierend auf den Ergebnissen der oben genannten Untersuchungen erfolgt zusätzlich eine Suche nach neuen Einsatzmöglichkeiten dieser Materialien. Neben N der hohen Dielektrizitäts- ihre Ver- zahl können z.b. auch Ladungsträgerdichte und Diffusionsbarriereeigenschaften wendung in Solarzellen und Sensoren bevorzugen. Insgesamt hat sich das Namlab zum Ziel gesetzt, eine Materialauswahl für spezielle Bauelementeanwendungenn durch ein vertieftes Materialverständnis voranzutreiben. Typischer Schichtaufbau eine Metall-Isolato or-metall Kondensators: Schematische Darstellung gegenüber Kondensator mit ca. 10 nm Dielektrikumsdicke. Abhängigkeit des Bandgaps von der Dielektrizitätszahl für am Namlab untersuchte Materialien. 49

52 Forschungsgebiet Silizium-Nanodrähte Die Forschungsarbeiten an derr Professurr konzentrieren sich gegenwärtig auf die Synthese und Integration von Nanodrähten für neuartige Transistoren undd rekonfigurierbare Schaltun- und gen. Da die Abmessungen von elektronischen Bauelementen stetig s verkleinert werden hohe Erwartungen an ihre Leistungsfähigkeit bestehen, werden alternativen Materialien und Bauelementarchitekturen notwendig. Dabei eignen sich Nanodrähte, die aus bottom-up - Verfahren hergestellt werden, als potenzielle Kandidaten für die künftigen elektronischen An- Metal / Halbleiter / Metal - Heterostrukturen mit atomar abruptenn Grenzflächen. Diesee bilden wendungen. Ein spezieller Schwerpunkt an der Professur ist die Bildung von longitudinalen das Kernstück von neuartigenn Transistoren und Schaltungen, die eine Funktions-Rekon- bei figurierbarkeit anbieten. Beispielsweise werden Nanodraht-T Transistorenn hergestellt, denen die Polarität zwischen p- und n-typ durch externe elektrische Felder frei eingestellt werden kann. Gesteuertes Wachstum von einkristallinen Si-Nanodrähten mit einen mittleren Durchmesser von 20 nm und kontrollierter Kristallorientierung. Rasterelektronenmikroskop-Aufnahme einer NiSi 2 / Si / NiSi 2 Lateralen-Nanodraht Silizidierung reduziert Heterostruktur. Die Länge der aktiven Si-Region konnte bis ca. 7 nm durch werden. 50

53 Forschungsgebiet Flash Speicher Ein weiteres Forschungsgebiet an der Professurr ist die Untersuchungg von Strukturen für nichtflüchtige Halbleiter-Bauelemente. Im Rahmen des EU-Projektes Gossamer werden Speicherbauelemente mit haftstellen-b basierten Speicherschichten untersucht. Diese sollen später einmal die aktuell dominierende Floating-Gate-Struktur von NAND-Flash- des Speicherbausteinen ablösen. Der Fokus der Forschungsarbeit liegt auf der Modellierung elektrischen Verhaltens der Speicherzellen und der dazu erforderlichen elektrischen Charak- in den terisierung. Für das erreichen der Projektziele ist es notwendig, neuee Materialien Schichtstapel der Speicherzelle zu integrieren. So wird anstelle von SiOO 2 Aluminiumoxid als mögliches Dielektrikum untersucht, welches eine höhere Dielektrizitätszahl aufweist. Weiterhin wird untersucht, ob sich eine Anwendung von metallischen Gate-Elektroden positiv auf die elektrischen Eigenschaftenn der Speicherzellenn auswirkt. Einen speziellen Schwerpunkt bilden dabei die für die Zuverlässigkeit der Speicherzelle relevanten Parameter, wie z.b. die Energieverteilung der Haftstellen. Zudem wird die Auswirkung der neuen Materialien auf die Zuverlässigkeit analysiert. Schnittt durch einenn NAND-Speicherzellreihe mit 48 nm breiten haftstellen-basierten Speicherzellen (a) und vergrößerter Ausschnitt einer Speicherzelle (die helleren Schichten unterhalb der dunklen metallischen Gate-Elektrode bilden die eigentliche Speicherzelle) (b). N T / ev -1 * cm RT 18 RT RT SONOS_1,8/6/ /3, SONOS 2,5/6/9 0.5 SONOS 2,8/ 8,5/ T=200 C SONOS_3,5/6/9 T=150 C T=100 C T=75 C RT Haftstellendichte in Abhängigkeit von der Haftstellenenergiee für drei verschiedene Schichtstapel und für verschiedene Temperaturen E T / ev ln( V T ) [V] E A = 0.18 ev TANOS, V T = 7V SONOS, V T = 9V E A = 0.05 ev 2h bake 4h bake /k kt bake (ev -1 ) Temperaturbeschleunigung der Ladungshaltung fürr einen Schichtstapel mit SiOO 2 (hell) und Al 2 O 3 (dunkel) als Dielektrikum 51

54 Kompetenzbereich Elektrische Charakterisierung Die Entwicklung elektrischer Bauelementee und Schaltkreise ist ohne eine solide messtech- von nische und messmethodische Arbeit undenkbar. An der Professur wird fürr die Analyse Bauelementen ein breites Spektrum an elektrischen Messmethoden wie Kapazitätsmessun- gen als C(U), C(T) und C(f) oder Strommessungen im Femtoamperebereich bei Tempera- Speicher- und Löschfenstern sowiee Schaltzeiten. - Bestimmung der Transistor- und Kondensator-Kennlinien, - Messung der Ladungsträgerbeweglichkeit mit Hall und Split-C(U), - Schichtwiderstandsbestimmung, - Scanning Spreading Resistance Microscopy, - Zuverlässigkeitsmessungen von Dielektrika und Transistoren, wie z.b. time turen zwischen 70 K und 450 K zur Verfügung gestellt. Etabliertee Methoden sind: - Analytische Messungen von Speicher-Einzelzellen zur Bestimmung von Haltezeit, dependend dielectric breakdown, stress induced leakagee current, bias temperature instability und hot carrierr injection, für diese Methoden steht eine most-likelyhood- im Auswertung zur Verfügung. - Charge-Pumping und Charge-Trapping-Analysen. Die vorgestellten Methoden können auf dem Wafer oder für aufgebaute a e Bauelemente Gehäuse angewandt werden. Dafür stehen 200 mmm und 300 mm m Messplätze mit Keithley und Agilent Messtechnik zur Verfügung. Das Know-How und diee technischen Geräte werden in zahlreichen Verbundprojekten- und Forschungsprojekten genutzt und weiter entwickelt. 200 mm Messplatz mit Keithley 4200 zur Analyse von Kondensatoren und Speicherbauelementen Zuverlässigkeitsmessplatz für Package-level Tests 52

55 Kompetenzbereich Physikalische und optische Charakterisierung An der Professur ist optische Charakterisierung für den gesamten Wellenlängenbereich von 250 nm bis zum infraroten Meßbereich von 17μm vorhanden. Dabei sind die Techniken Photolumineszenz (PL), Photolumineszenzanregungsspektrometrie (PLE), MikroRaman, Fouriertransformierte Infrarot-Ellipsometrie (FTIR-Ellipsometrie) und UV-VIS Spektralellipsometrie verfügbar. Als Quellen für den PL Aufbau stehen Laserlininen von 325 bis 785 nm zur Verfügung. Zur Detektion werden ein Ge-Detektor für den infraroten und Photomultiplier für den sichtbaren Meßbereich genutzt. Ein,closed-cycle -Kryostat für Temperaturen bis 5 K ist im Aufbau. Für den PLE-Meßplatz ist zusätzliche eine an ein Spektrometer gekoppelte 1 kw Xenonlampe nutzbar. Diese Lampen-Spektrometer-Kombination kann auch für spektralaufgelöste Photostrommessungen für Photodioden und Solarzellen genutzt werden. Mit dem Mikro-Raman-System kann Stress- und Ladungsträgerkonzentrationsmapping mit Mikrometer-Auflösung erfolgen. Als Quellen stehen hier Laserlinien von 457 bis 785 nm zur Verfügung. Die Anwendungsgebiete des FTIR Ellipsometers erstrecken sich von herkömmlicher Schichtdickenmessung über Infrarotabsorptionsspektroskopie bis hin zur Ladungsträgerkonzentrationsmessungen in Halbleitern und der Vermessung von extrem flachen p-n Übergängen. Das System hat eine räumliche Auflösung von 100x200 μm und kann in Ergänzung zum UV-VIS Ellipsometer betrieben werden. Die an der Professur vorhandenen, sich ergänzenden optischen Methoden ermöglichen eine umfassende optische Charakterisierung von Dünnschichtmaterialien (Absorbtionsbanden, optische Zentren, Pumpniveaus, Energietransferprozesse) und von Substraten (lokale Stresser, Ladungsträgerkonzentrationen, Photostrom). Intensity (a.u.) TiO 2 anatase= cm -1 TiO 2 anatase= cm -1 Si= cm -1 TiO 2 anatase= cm Wavenumber (cm-1) Raman-Spektren für 25 nm dickes amorphes und anatase auskristallisiertes TiO2.? tan(psi) 0,75 0,70 0,65 0,60 0,55 0,50 0,45 0,40 0,35 0,30 0,25 0,20 0,15 0,10 0, wavenumber (cm-1) 2E19 As cm-3 5E19 As cm-3 8E19 As cm-3 1E20 As cm-3 2E20 As cm-3 FTIR-Ellipsometer-Messungen an unterschiedlich stark dotiertem Si. Der Anstieg im niedrigen Wellenzahlbereich ist durch die Drude-Absorbtion hervorgerufen und ein Maß für die Dotierung 53

56 2.6 Reinraumgebäude am MIERDEL-Bau Nach der Inbetriebnahme des Reinraumgebäudes am Mierdel-Bau im April 2006 und der daran anschließenden Etablierung eines kontinuierlicher Reinraum- und Anlagenbetriebes konnte in den letzten Jahren ein wichtigerr Teil des Anlagenparks modernisiert bzw. erweitert werden. So wurden z.b. eine neue Si-Ätzanlage Pegasus der Fa, SPTSS Ltd., einee neue Trennsäge, ein neuer Mask Aligner und eine ALD Versuchsan nlage in Betrieb genommen. Durch einen Abgleich der Anlagentechnik mit der NaMLab GmbH konnte eine durchgängige Präparationslinie für die Herstellung von Speicherkondensatoren mit high-k Dielektrika in Angriff genommen werden. Die nachstehenden Bilder geben einen allgemeinenn Überblick über die wichtigsten neuen Geräte und Anlagen, sowie ihre besonderen Leistungsparameter: Chemisch Mechanisches Polieren (CMP) Atomic Layer Deposition (ALD) POLI-762, Fa. G&P Technology, Südkorea: Waferdurchmesser mm, schnelle wechselbare Poliertische, Messung von Reibungskoeffizienten während Politur BENEQ TFS 500, Fa. Beneq, Finnland: Heißwandreaktor, EinzelwaferbeE earbeitung bis 200 mm, Prozesse zur z Abscheidung von Al 2 O 3, TiO 2, Ti-N-O, Ir, IrO 2 und SiO 2 54

57 Wafer Cutting Contact Lithography DAD 3350, Fa. Disco, Japan: Trennschleife en von Si-Wafer, Glas, Keramik, Quarz, Saphir bis 200 mm Durchmesser Silicon Deep Etch EVG 6200, Fa. ElectronicVision, Österreich: mm Waferdurchmesser; Laser Cutter STS Pegasus, Fa. SPTS Großbritannien: mm Waferdurchmesser; Bosch-Proze ess; LCS 300, Fa. Synova: Trennenn von Si-Wafern bis 300 mm Durchmesser 55

58 2.7 Neubau Forschungsgebäude am MIERDEL-Bau Im Zuge der Modernisiserung unserer Universität und der Vitalisierung des Gebäude- bestandes wurde schon vor mehreren Jahren die Erneuerung der d Labore im MIERDEL-Bau diskutiert und nach einer Bedarfsermittlung die dafür erforderlichen Mittel beantragt und später auch bewilligt. Ein zwingender Grund für diese Erneuerung ist die Einhaltung von Vorschriften zum Brandschutz. Nach anfänglichen Planungen für eine Sanierung im Bestand wurde 2010 beschlossen, einen Neubau für die Laboratorien des IHM als Anbau an den MIERDEL-Bau zu errichten. Diese Baumaßnahme geht einher mit einer örtlichen Konzen- tration von Ausbildungs- und Forschungsaktivitäten im Fachgebiet Mikroelektronik. Dazu gehört auch der Neubau eines Technikums für die Institute für f Festkörperelektronik und Aufbau- und Verbindungstechnik östlich vom MIERDEL-Bau. MIERDEL-Bau; Forschungs- der gebäude und Technikum werden später über eine Brücke verbunden sein. Schlusspunkt Baumaßnahmen wird dann die Sanierung bzw. der Umbau des alten a MIEDEL-Baus zu einem reinem Büro- und Lehrgebäudes sein. Die Planungen dafür haben begonnen. Am wurde mit dem Abriss des alten Treppenhausturmes an der Ostseite des MIERDEl-Baus der Start für den Neubau unseres Forschungsgebäudes gegeben. Laborplanung Der viergeschossigee Neubau wird mit m² Nutzfläche die bislang dem IHM im MERDEL- und im BARKHAUSEN-Bau zur Verfügung stehendee Laborfläche weitgehend flächengleich ersetzen. Damit werden auch die Forschungsarbeiten an der Professur Optoelektronische Bauelemente und Systeme in Zukunft im MIERDEL-Bau durchgeführt werden können. Wesentlicher Vorteil für uns Nutzer werden die moderne und sichere Luft-, Klima und Medienver- und entsorgung sein. Besondere Highlights des Gebäudes werden sein: - ein ca. 2 m dickes Fundament zur Schwingungsdämpfung der im Sockelgeschoss aufzu- und stellenden Mikroskope und Analysenger räre, - eine ganze Etage für die chemischen Laboratorien und - eine Etage mit Grauraumbereichen, in denen staub- und klimaempfindliche Prozesse Analysen ausgeführt werden können. Die bauseitige Fertigstellung ist im Frühjahr 2013 geplant, so dass d nach Umzug und Neu- Prak- installation der Laborausrüstungen und Geräte ab Sommer die neuenn Labore für tika, Qualifizierungsarbeiten von Studenten und für die vielfältigen Forschungsarbeiten an unserem Institut genutzt werden können. 56

59 Bild Gebäudeentwurf (Ansicht von N) Einrichtungsplan Grauraumetage 57

60 3. Lehre / Education 3.1 Ausbildung am IHM / Microelectronic Studies Das IHM bietet Lehrveranstaltungen in allen Etappen der verschiedenen Studiengänge der Fakultät an. Im viersemestrigen Masterprogramm Nanoelectronic Systems werden verschiedene obligatorische und auch frei wählbare Lehrveranstaltungen angeboten. Im Grundstudium des Diplom-Studiengangs Elektrotechnik wird im Rahmen des Moduls Technologien und Bauelemente der Mikroelektronik die Lehrveranstaltung Mikrotechnologien angeboten. Der größte Anteil der Vorlesungen und Praktika, die das IHM anbietet, liegt aber im Hauptstudium der Diplomstudiengänge Elektrotechnik und Mechatronik. Dort liegt die Verantwortung für die Vertiefungsrichtungen Mikroelektronik und Mikromechatronik beim IHM. Für die Studienrichtung Mikroelektronik hält das IHM als Pflichtvorlesungen die Halbleitertechnologie I, die Mikrosystemtechnik und Werkstoffe der Mikroelektronik. Für die weitere Vertiefung wählen die Studenten aus den folgenden Lehrveranstaltungen aus: o Entwurf und Simulation von Mikrosystemen, o Halbleitertechnologie II, o Herstellung dünner Schichten, o Technische Optik, o Optoelektronische Bauelemente und Systeme, o Messtechnik für Halbleiter- und Mikrotechnik, o Mikroelektroniktechnologie, o Physikalische Mikroanalytik, o Solarenergietechnik, o Vakuumtechnik, o Speichertechnologie. Im Profil Mikromechatronik werden vom IHM die folgenden Lehrveranstaltungen angeboten: o Werkstoffe der Mikrosystemtechnik, o Mikrosysteme (für Mechatroniker), o Elektromechanische Netzwerke (EMN), o Anwendung kombinierter Simulation (AKS), o Praktikum zu EMN und AKS Für alle Studiengänge werden in Fachpraktika und bei Exkursionen Einblicke in technologische Prinzipabläufe bzw. in die industrielle Fertigung geboten. Ein einsemestriges Industriepraktikum ist obligatorischer Teil des Studiums. Als studentische Qualifizierungsarbeiten werden Studienarbeit (3 Monate) und Diplomarbeit (6 Monate) in der Regel an einer Professur des IHM durchgeführt. Die Regelstudienzeit beträgt 10 Semester. Generally, the education and graduation are organized as two parts. The first part covers undergraduate studies for all students of Electrical Engineering, Information Systems Engineering and Mechatronics. After passing an intermediate examination (Diplom-Vorprüfung) the students can choose graduate courses in microelectronics or micro-mechatronics. The IHM is responsible for the following mandatory lectures: Semiconductor Technology 58

61 Microsystems Technology Materials for Microelectronics The attendees of different graduate courses may choose lectures out of a wide spectrum of optional courses presented by the IHM faculty and staff. A three month project work and one semester industrial placement are part of the obligatory courses. Normally, the education lasts ten semesters and is finished by passing the diploma examination (Diplom-Ing. certificate) after six month preparation. Since 2011 exists a master program Nanoelectronic systems which takes four semesters, including the master thesis. 3.2 Lehrveranstaltungen / Lectures Mikrotechnologien /Microtechnologies (Prof. Bartha) 3. Semester Materials in Nanotechnology (Prof. Richter) 1. Semester MA Semiconductor Technologies (Prof. Bartha) 1. Semester MA Werkstoffe II / Materials Science II (Dr. Adolphi, Prof. Fischer) 5. u. 6. Semester Mikrosysteme / Microsystems (Prof. Fischer) 5. u. 6. Semester Technische Optik / Technical Optics (Prof. Lakner) 6. Semester Werkstoffe für Mechatroniker / Materials Science for Mechatronic Engineering (Dr. Adolphi, Prof. Fischer) 6. Semester Entwurf und Simulation von Mikrosystemen / Design and Simulation of Microsystems (Prof. Gerlach, Dr. Marschner, Prof. Fischer) 6. u. 7. Semester Studienarbeiten auf dem Gebiet der Elektromechanischen Systeme/ Projects in the field of Electromechanical Systems (Prof. Pfeifer) 6. o. 7. Semester Studienarbeiten auf dem Gebiet der Halbleitertechnik / Projects in the field of Semiconductor Technology (Prof. Bartha) 6. o. 7. Semester Studienarbeiten auf dem Gebiet der Mikrosystemtechnik / Projects in the field of Microsystem Technology (Prof. Fischer) 6. o. 7. Semester Studienarbeiten auf dem Gebiet der Optoelektronischen Bauelemente und Systeme / Projects in the field of Optoelectronic Devices and Systems (Prof. Lakner) 6. o. 7. Semester Elektromechanische Netzwerke / Electromechanical Networks (Dr. Marschner, Prof. Fischer) 7. Semester Halbleitertechnologie I und II / Semiconductor Technology II (Prof. Bartha) 6. und 7. Semester Physikalische Mikroanalytik / Physical Microanalytics (Dr. Wenzel, Prof. Bartha) 7. Semester Optoelektronische Bauelemente und Systeme / Optoelectronic Devices and Systems (Prof. Lakner) 7. Semester Vakuumtechnik / Vacuum Technology (Prof. Bartha) 7. Semester Grundlagen FEM (Dr. Rzepka) 7. Semester Anwendung kombinierter Simulation / Application of Integrated Simulation (Dr. Starke, Prof. Fischer) 7. u.8. Semester Meßtechnik für Halbleiter- und Mikrotechnik / Semiconductor 59

62 and Microsystem Measurements (Dr. Plötner, Prof. Bartha, Prof. Fischer) Oberseminar Mikroelektronik / Postgraduate Seminar Microelectronics (Prof. Bartha, Prof. Fischer) Dünne Schichten / Thin Films (Dr. Wenzel,, Prof. Bartha) Mikroelektronik-Technologie / Microelectro onic Technology (Dr. Klaus, Prof. Bartha) Solarenergietechnik / Solar Energy Technology (Dr. Albert, Prof. Bartha) Speichertechnologiee / Memory Technolgy (Prof. Mikolajick) Wirtschaftliche und technologische Herausforderung der Nanotechnologie (Prof. Kücher) 7. u. 8. Semester 7. u. 8. Semester 8. Semester 8. Semester 8. Semester 8. Semester 8. Semester Internationales Praktikum Damascene Processing Im Rahmen eines Studienaufenthaltes arabischer Studenten derr Fachrichtung Elektrotechnik aus den Vereinigtenn Arabischen Emiratenn bei GLOBALFOUNDRIES Dresden wurde an der Professurr Halbleitertechnik ein zweiwöchiges Praktikum zur Herstellung einer Chipver- Strukturierung) wurde in insgesamt 8 Versuchen über jeweils einen Arbeitstag behandelt. Dabei konnte die gesamte Palette der erforderlichen Basistechniken sowohl grundlagenseitig als auch praktisch betrachtet werden. Als ein Ergebnis des Praktikums wurden die in einem drahtung für höchstintegriertee Schaltkreise durchgeführt. Diese Technologie (Damascene Waferdurchlauf hergestellten Damascene-Strukturen charakterisiert und elektrisch bewertet. Die Praktikumsobjekte in Form vollständigg prozessierter Wafer konnten diee Praktikanten mit nach Abu Dhabi nehmen. Das Praktikum wurde unter produktionsnahen Bedingungen imm Reinraumm des IHM durch- am geführt. Die folgenden Bilder zeigen Impressionen vom Aufenthalt der arabischen Studenten IHM. 60

63 3.3 Studien- und Diplomarbeitenarbeiten 2011 / Students Project Reports and Diploma Thesis 2011 Abgeschlossene Studienarbeiten / Students Project Reports Basler, Hendrik Eisfeld, Nils Grünberger, René Lokamani Mocker, André Oelschlägel, Martin Schröter, Jörg Roman Implementation und Leistungsabschätzung bauteilintegrierter Magnetfeldsensorik (Betreuer: Prof. Fischer, Dipl.-Ing. Kunadt) Finite-Elemente-Simulation und Induktivitätsberechnung von Planarspulen auf dünnen magnetostriktiven Schichten (Betreuer: Prof. Fischer, Dr. Marschner) Optimierung der Röntgenangeregten Photoelektronenspektrometrie (XPS) für die Charakterisierung von TaN-basierten dünnen Schichten (Betreuer: Prof. Bartha, Dipl.-Ing. Hoßbach, Dr. Adolphi) Ab-initio studies of metallo organic nanostructures (Betreuer: Prof. Bartha) Plasmachemische Strukturierung von PDMS (Polydimehtyilsiloxan) durch RIE (Betreuer: Prof. Bartha, Dr. Richter, Dipl.-Ing. He) Telemetrische Messungen an Resonanz-Biegesensoren (Betreuer: Prof. Fischer, Dipl.-Ing. Sauer) Entwurf einer Standard-Video-Schnittstelle für ein bidirektionales Mikrodisplay (Betreuer: Prof. Fischer) Abgeschlossene Diplomarbeiten / Finished Diploma Thesis Anders, Norman Bernhardt, Pit Eckhardt, Mathis Göritz, Alexander Junige, Marcel Körbitz, René Lehninger, David Regelbarer Formgedächtnis-Stellantrieb mit mechanischer Selbsthaltung (Betreuer: Prof. Fischer) Entwicklung einer Demonstratorplattform zur Energiegewinnung aus der Umgebung (Engery Harvesting) (Betreuer: Prof. Fischer, Dr. Gay) Entwicklung eines Systems für zuverlässiges Laserschweißen in der Herzschrittmacherfertigung (Betreuer: Prof. Fischer, Dr. Adolphi) Entwicklung und Charakterisierung Flash-basierter Prozesse für die Abscheidung dünner Schichten mit einer neuen Versuchsanlage (Betreuer: Prof. Bartha, Dipl.-Ing. Henke) Entwicklung und Charakterisierung eines Prozesses zur thermischen Atomlagenabscheidung von Ruthenium mit in-situ Messtechnik (Betreuer: Prof. Bartha, Dipl.-Ing. M. Knaut) Modellierung und Simulation des dynamischen Verhaltens einer sensorischen Hüftprothese im Knochenverbund unter Berücksichtigung besonderer Randbedingungen (Betreuer: Prof. Fischer, Dr. Marschner, Dipl.-Ing. Sauer) Vergleich der Barrierewirkung von PVD, PECVD und PEALD- Ruthenium-Tantal-Stickstoff-Verbindungen gegen Cu-Diffusion auf Basis elektrischer Messungen (Betreuer: Prof. Bartha, Dipl.-Ing. Wojcik) 61

64 Raab, Peter Schmiegel, Clemens Steinert, Daniel Xiao, Hao Xin, Gang Zhi, Na Untersuchung von piezoelektrischen Polymeren für mikroelektronische Anwendung (Betreuer: Prof. Fischer, Dr. Adolphi) Atomlagenabscheidung von Iridium und Iridiumoxid (Betreuer: Prof. Bartha, Dipl.-Ing. Hoßbach) Untersuchung der elektrisch-mechanisch-akustischen Wandlereigenschaften gelochter piezoelektrischer Biegeplatten für die Anwendungen in mikroelektromechanischen Systemen (Betreuer: Prof. Fischer, Dipl.-Ing. Krause) Untersuchungen zur plasmachemischen Abscheidung teflonartiger Polymerschichten, deren Charakterisierung und Modifizierung (Betreuer: Prof. Bartha, Dr. Richter, Dipl.-Ing. He) Einstellung der Grenzflächeneigenschaften für optimierte organische n-kanal-feldeffekttransistoren (Betreuer: Prof. Fischer, Dr. Plötner) Entwicklung eines Verfahrens zur Dickenbestimmung von Siliziumdioxid- und Siliziumnitrid-Schichten mittels In-situ-Infrarotspektroskopie (Betreuer: Prof. Bartha, Dr. Künzelmann) 3.4 Dissertationen / Habilitationen 2011 / Finished Doctoral Thesis (PhD) 2011 Dissertationen Kirchner, Robert Taroata, Dan Gil, Tae Hyun On UV-Nanoimprint-Lithography as Direct Patterning Tool for Polymeric Microsystems Untersuchung zur Integration von Kondensatoren in Leiterplatten Development of alternative processes for top electrode deposition on OLED based on physical vapour deposition process Habilitation Marschner, Uwe Sensorische funktionale Mikroimplantate 62

65 3.5. Weiterbildungen / Continuing g Education Dresden Microelectronics Academy Vom September 2011 fand die Dresden Microelectronics Academy (DMA) mit 45 Studenten aus 15 Ländern statt. Es war die 11. Sommerschule dieser Art und wurde von der TU-Dresden, gemeinsam mit Infineon und GLOBALFOUNDRIES veranstaltet. Ziel dieser Veranstaltung ist es, Studierende und Absolventen der Ingenieur- und Naturwissenschaften für die fachliche und berufliche Praxis der Halbleiterindustrie im Dresdner Mikroelektronik Cluster zu begeistern. Ihren Erfolg verdankt die d Sommerschule derr Koopera- eines tion der beteiligten Partner. Veranstalter und Sponsoren verstehen sichh als Mitglieder regionalen Netzwerks aus Industrie, Forschung und Lehre. Die 12. DMA ist für den September geplant. Weitere Informationen finden Sie auf der Internet Seite From September 5 th to 8 th 2011, thee Dresden Microelectronics Academy (DMA) with 45 Students from 15 countries took place.. It was the 11 th summer school of this kind and was organized by TU-Dresden of this event is to give students and graduatess more insight into the practical together withh Infineon and GLOBALFOUNDRIES. The objective application of microelectronics in the semiconductor industry and to showw the potential of the Dresden microelectronic cluster. The success of this event is based on the cooperation of the participating partners, who understandd themselves as part of a regional network merging Industry, R&D and Education. The 12 th Dresden Microelectronics Academy is planned to be held inn Sept Further information can be found at the t web-page Sie auf der Internet Seite 63

66 4. Gegenwärtige Forschungsprojekte / Current Research Projects 4.1 Forschungsprojekte an der Professur Halbleitertechnik Landesinnovationspromotion: Untersuchungen zur Atomlagenabscheidung nanoskaliger metallischer Schichten Investigating the atomic layer deposition of nano-scale metallic films Zuwendungsempfänger: Dipl.-Ing. Marcel Junige Fördermittelgeber: Sächsische Aufbaubank (SAB) und Europäischer Sozialfonds (ESF) Bewilligungszeitraum: Zielstellung In künftigen Anwendungen metallischer Dünnschichten liegt die typische Dicke im Bereich weniger Nanometer, wo die gewünschten Schichteigenschaften empfindlich von den jeweiligen Prozessbedingungen abhängen. Hier setzt dieses geförderte Promotionsvorhaben an und zielt auf ein fundamentales Verständnis der Atomlagenabscheidung (ALD) ultradünner funktionaler Schichten, wobei das Anfangswachstum von Metallen auf diversen anwendungsrelevanten Substratmaterialien im Mittelpunkt steht. Ergebnisse Um die entscheidenden Prozessparametereinflüsse identifizieren zu können, wurde der Zugang zum ALD-Prozess per Spektroskopischer Ellipsometrie (SE), sowie Quadrupol- Massenspektrometrie (QMS) in situ und in Echtzeit erschlossen. Darüber hinaus wurden die schnellen, aber indirekten Messmethoden SE sowie QMS mit zeitaufwändigeren, dafür direkten Verfahren zur Oberflächenanalyse wie Photoelektronenspektroskopie (XPS, UPS) oder Rastersondenmikroskopie (AFM, STM, STS) derart kombiniert, dass die untersuchten Proben im Hochvakuum verbleiben und somit vor störenden Einflüssen der Umgebungsluft geschützt sind. Auf diese Weise können die physikalisch-chemischen und strukturellen Oberflächenvorgänge während des ALD-Wachstums detailliert aufgeklärt und den entsprechenden Beobachtungen der indirekten Messverfahren eindeutig zugeordnet werden. Objective In future applications of metallic thin films, the typical film thickness will be in the range of a few nanometers, where the desired film properties sensitively depend on the specific process conditions. This funded doctoral project aims to fundamentally understand the atomic layer deposition (ALD) of ultra-thin functional films, focusing on the growth initiation of metals on various substrate materials. Results To identify the critical process parameter influences, the in-situ and real-time access to the ALD process has been developed via spectroscopic ellipsometry (SE) and quadrupole mass spectrometry (QMS). In addition, the fast but indirect measurement techniques SE and QMS have been combined with more time-consuming but direct surface analysis methods such as photoelectron spectroscopy (XPS, UPS) or scanning probe microscopy (AFM, STM, STS). This unique combination ensures, that the investigated samples remain in a high vacuum and therefore are protected against interfering influences of the ambient air. In this way, the physico-chemical and structural surface actions during the ALD growth can be revealed in detail and they can be assigned clearly to the corresponding observations of the indirect techniques. 64

67 Landesinnovationspromotion: Untersuchung der Gas/Festkörper-Grenzflächenreaktionen bei der Atomlagenabscheidung (ALD) relevanter Schichtmaterialien der Mikroelektronik Investigation of gaseous-solid-reactions during atomic layer deposition of relevant materials in microelectronics Projektleiter: Prof. J.W. Bartha Mitarbeiter: Dipl.-Ing. M. Geidel Förderer: Sächsische Aufbaubank (SAB) Laufzeit: Zielstellung Dieses Projekt umfasst die Untersuchung der Gas/Festkörper-Grenzflächenreaktionen bei der Atomlagenabscheidung und des daraus resultierenden Aufwachsverhaltens der Schicht. Das Ziel sind ultradünne (< 5nm) geschlossene dielektrische und metallische Schichten, welche in der Mikroelektronik zum Beispiel in Metall-Isolator-Metall-Kondensatoraufbauten Anwendung finden. Für die Forschungsarbeiten steht eine ALD-Cluster-Anlage mit zwei ALD- Prozesskammern, eine für dielektrische Schichten, wie Aluminiumoxid und Titanoxid, und eine für metallische Schichten, wie Ruthenium und Tantalnitrid, zur Verfügung. Dieses Tool ist direkt mit einer Analytikkammer verbunden, in der ohne Vakuumunterbrechung X-ray Photoelektronenspektroskopie (XPS) und verschiedene Arten der Rastersondenmikroskopie, wie Rasterkraftmikroskopie und Rastertunnelspektroskopie durchgeführt werden können. Ergebnisse Mit in-situ XPS können Reaktionsmechanismen über alternierend verlaufende Änderungen in den Intensitäten der XPS-Signale nach einzelnen ALD-Halbreaktionen untersucht werden. Für eine dielektrische Schicht wie Aluminiumoxid konnten in den ALD-Prozessen mit TMA und Wasser bzw. TMA und Ozon nach den TMA-Präkursorpuls eine erhöhte Kohlenstoffintensität nachgewiesen werden, welche auf das Vorhandensein von CH 3 -Gruppen hindeutet. In den darauffolgenden Wasser- bzw. Ozonpulsen werden diese substituiert und die Kohlenstoffintensität sinkt wieder. Aluminiumoxid wächst auf sauerstoffarmen Substraten, wie HFgedipptes Silizium oder Ruthenium substratbegrenzt auf. Während des ALD-Wachstums von Ruthenium mit den Präkusoren ECPR und Sauerstoff wird in den Sauerstoffpulsen Sauerstoff in den oberflächennahen Bereich der Rutheniumschicht eingebaut und eine Rutheniumoxidschicht von wenigen Monolagen erzeugt. Der Sauerstoff wird in einem gesättigten ECPR-Pulsen vollständig entfernt. Im Gegensatz zu Aluminiumoxid wächst Ruthenium sowohl auf sauerstoffarmen als auch auf oxidischen Substraten wie Aluminiumoxid substratbegrenzt auf. Zusätzlich findet in den ersten 50 Zyklen der ALD von Ruthenium auf Silizium eine Oxidation des Substrates zu Siliziumoxid statt. Untersuchungen mit Rasterkraftmikroskopie (AFM) bestärken durch ein Rauigkeitsmaximum bei 40 Zyklen das vermutete Inselwachstum während der Ru-ALD. Objective This project is concerned with the investigation of gaseous-solid-reactions during atomic layer deposition and the resulting growth behaviour of the film. The objective is the deposition of conform ultra-thin dielectric or metallic layers used in microelectronics such as metalinsulator-metal-capacitors. An ALD-cluster tool with two process chambers, one for dielectric films like aluminium oxide and titanium oxide and one for metallic films, like ruthenium and tantalum nitride, is available for this research. This tool is directly attached to an analytic chamber, where X-ray photoelectron spectroscopy and several kinds of scanning probe microscopy, like atomic force microscopy and scanning tunnelling spectroscopy, can be used. 65

68 Results Using in-situ XPS reaction mechanisms can be investigated through alternating changes in XPS intensities after single ALD-halfrea actions. For dielectricc films as aluminum oxide increased carbon intensity afterr the TMA pulses during ALD-processes with TMA and water as well as TMA and ozone due to the CH 3 groups can be obtained. In the following water and or ozone pulses the CH3 groups are substituted and the carbon intensity decreases. Aluminiumm oxide grows substrate-inhibited on oxygen poor substrates. During the ALD-growth of ruthenium with the precursors ECPR and oxygenn the reproducible alternating presencee and absence of O 1ss XPS signals reveals the incorporation of oxygen into the sub-surface region of the Ru film during O 2 exposures and a the subsequent complete consumption of this oxygen during saturated ECPR pulses. In contrast too aluminum oxide, ruthenium growths on oxygen poor substrates as well as on oxidized substrates substrate- inhibited. Additionally a silicon substrate oxidized during the first 50 cycles of ruthenium ALD. Investigations with atomic force microscopyy enhance with a roughness maximum at 40 cycles the supposed island growth during ruthenium ALD. C1s XPS intensity (a. u.) Cycle number after TMA 82 pulse after water pulse Binding energy (ev) O1s XPS intensity (a. u.) Cycle number after ECPR pulse Binding energy (ev) after Oxygen pulse Erhöhung des XPS Signals von Kohlen- stoff nach TMA-Pulsen Erhöhung der XPS X Signals von Sauerstoff nach Sauerstoffpulsen Ru3p3 (462eV) 0.6 XPS intensity (a. u.) XPS AFM O1s (530eV): RuO x O1s (532eV): SiO AFM RMS roughness (nm) Cycle number Rutheniumwachstum anhand XPS Intensitäten Ru3p3, Sauerstoff XPSS Signal: Oxidation des Sauerstoffkomponenten (SiO 2, RuO x ) und der AFM RMS Rauigkeiten Siliziumsubstrates und Bildung einer Rutheniumoxidschicht Das Projekt (Nr ) wird vollständig aus Mitteln des Europäischen Sozialfonds (ESF) und des Freistaatess Sachsen gefördert. This project (contract no ) has been funded by the European Social Fundd / Free State of Saxony. 66

69 Ausbildung von transparenten Wasser- und Sauerstoffbarriereschichten auf temperaturempfindlichen flexiblen Substraten geeignet zur Verkapselung von organischen Leuchtdioden und organischen Solarzellen Formation of transparent water vapour and oxygen barriers on temperaturesensitive flexible substrates for organic LED and organic solar cells encapsulation Projektleiter: Dr. Matthias Albert Mitarbeiter: Dipl.-Ing. C. Hoßbach, Dipl.-Ing. T. Henke, Förderer: AiF Laufzeit: Projektpartner: NaMLab ggmbh, Forschungsinstitut für Leder und Kunststoffbahnen ggmbh (FILK) Zielstellung Projektziel ist die Herstellung transparenter Barriereschichten gegen Wasserdampf und Sauerstoff für die Verkapselung von organischen Leuchtdioden und organischen Solarzellen. Mit diesen Schichten soll die Lebensdauer dieser Bauelemente um mehrere Größenordnungen erhöht und so der Einsatz für kommerzielle Anwendungen ermöglicht werden. Die angestrebten Transmissionsraten für Wasserdampf (WVTR) sind im Bild unten links dargestellt. Die Aufgabe am IHM ist die In-situ-Charakterisierung der Atomlagenabscheidung (ALD) von Barriereschichten. Dazu gehören beispielsweise Untersuchungen zum Aufwachsverhalten von ausgewählten ALD-Prozessen auf Silizium- und Foliensubstraten. Diese werden mit Röntgenphotoelektronenspektroskopie (XPS) und Quarzmikrobalancewaagen (QCM) durchgeführt. Ein weiterer Schwerpunkt ist die Charakterisierung von Folien hinsichtlich ihrer Stabilität gegen Oxidation im ALD-Prozess und hinsichtlich ihrer Wechselwirkung mit Feuchtigkeit und Gasen. Ergebnisse Das Bild unten zeigt ein Ergebnis aus unseren In-situ-XPS-Untersuchungen zum Anfangswachstum der ALD von Aluminiumoxid auf thermischem Siliziumoxid und Folien-Substraten. Anhand der Entwicklung des Aluminium-Anteils zeigt sich, dass das Aufwachsen von Al 2 O 3 sowohl auf PEN als auch auf PET direkt mit dem ersten ALD-Zyklus startet und danach sehr ähnlich verläuft. Im Vergleich zur ALD auf SiO 2 fällt das Wachstum jedoch etwas geringer aus. Ein solches Verhalten kann z.b. aus einer geringeren Anzahl von reaktiven Oberflächengruppen resultieren. Objective Aim of this project is the fabrication of transparent barrier layers against water vapor and oxygen diffusion for organic LED and organic solar cells encapsulation. These films shall enable commercial application of the organic devices by increasing their life time by several orders of magnitude. The target transmission rates for water vapor (WVTR) are illustrated in the fig. below. IHM s main task in this project is the in-situ characterization during Atomic Layer Deposition (ALD) of thin barrier layers. For example, this includes the analysis of nucleation behavior for selected ALD processes on silicon and foil substrates using X-Ray Photoelectron Spectroscopy (XPS) and Quartz Crystal Microbalance (QCM). A further key aspect is the characterization of foil substrates in terms of oxidation stability during ALD processing as well as their interaction with moisture and gases. Results The figure shows some results of our in-situ XPS nucleation analysis for the ALD of aluminum oxide on thermal silicon oxide substrates and foils. The evolutions of aluminum contents indicate an immediate growth of Al 2 O 3 and similar nucleation behavior on PET and 67

70 PEN substrates. In comparison, the deposition on silicon dioxide substrates show only slightly improved film growth. This difference can be attributedd to the reduced number of reactive surface groups on the foil f substrates. Anforderungen an Permeationsbarrieren bei der Bauelementverkapselung Requirements of permeation barrier for device encapsulation Entwicklung des Aluminiumanteils beim Aufwachsen von Al 2 O 3 auf SiO 2 und Folien Evolution of Al-content during the nucleation of Al 2 O 3 on SiO 2 and foils 68

71 ALD- Techniken für neue Materialien und wenige Atomlagen dicke Schichten (MERLIN) Atomic layer deposition technology for novel materials and thin films with a thickness of few atomic layers Projektleiter: Prof. J.W. Bartha / Dr. M. Albert Mitarbeiter: Dipl.-Ing M. Knaut / Dipl.-Ing C. Hoßbach Förderer: SAB Laufzeit: Projektpartner: FHR Anlagenbau, FhG-IKTS, NaMLab Zielstellung Das Forschung und Entwicklung Projekt für Techniken zur Atomlagenabscheidung (ALD) neuer Materialien und ultradünner Schichten ist in folgende Hauptaufgaben unterteilt: Inbetriebnahme und Test der neuen ALD- Versuchsanlage Beneq TFS 500 Auswahl und Evaluation von Precursoren für die ALD von neuen Elektroden- und Isolatormaterialien mit anschließender Prozessentwicklung Herstellung von planaren Kondensatoren unter Verwendung der neu entwickelten Prozessen Inbetriebnahme und Test einer neuen ALD- Kammer mit Lampenfeld für die Herstellung von Isolatormaterialien am FHR 300 Clustertool Ziel ist es Prozesse und Prozessfolgen zu entwickeln, die sowohl funktionell als auch hinsichtlich der Prozess- und Materialkompatibilität das Potential haben für zukünftigen kommerziellen DRAM- Schaltkreisen adaptiert zu werden. Die hergestellten Schichten bzw. Schichtstapel sollen mit HRREM, AFM, TEM, Ellipsometrie, XRR, XRD, elektrischer Messtechnik und in-situ-xps charakterisiert werden. Letzteres kann auch zur Beurteilung des Aufwachsverhaltens der Schichten eingesetzt werden. Ergebnisse Auf der Versuchsanlage Beneq TFS 500 wurden zusätzlich zum Titanoxid-Prozess ALD-Prozesse zur Herstellung von Aluminiumoxid (aus TMA und H 2 O bzw. O 3 ) und Titannitrid (aus TDMAT und NH 3 ) entwickelt, die ebenso wie der TiO 2 -Prozess sehr gute Reproduzierbarkeit, Schichtdickenhomogenitäten und Prozessgeschwindigkeiten aufwiesen. Im Rahmen der Auswahl und Evaluation von Precursoren wurden zwei Iridium Precursoren untersucht und für die Herstellung von Iridium- und Iridiumoxid-Kondensatorelektroden eingesetzt (s. Bilder). Nach Integration der neuen ALD Kammer mit RTP Lampenfeld für die Herstellung von Isolatormaterialien in das FHR 300 Clustertool (Bild unten links) wurden Prozesse zur ALD von Al 2 O 3 und TiO 2 mit TMA und H 2 O/O 3 bzw. TTIP und H 2 O/O 3 entwickelt, die auf 300 mm-wafern sehr gute Schichtdickenhomogenitäten (> 97 % für Al 2 O 3 und > 90 % für TiO 2 ), Reproduzierbarkeiten und Prozessgeschwindigkeiten bzw. Abscheideraten (10 Å/min für Al 2 O 3 und 1 Å/min für TiO 2 ) liefern. Die Prozesse wurde mit Hilfe von Schwingquarzmikrowaagen entwickelt und u. a. durch Ellipsometrie und in-situ XPS Messungen charakterisiert (Bild unten rechts), die das gleichmäßige ALD Wachstum ohne Verzögerung auf verschiedenen Substraten und in einen großen Temperaturbereich (40 C C für Al 2 O 3 und 40 C C für TiO 2 ) belegten. Objective The project for research and development of Atomic Layer Deposition (ALD) technologies for novel materials and ultrathin films with a thickness of a few atomic layers has the following main objectives: Commissioning and test of the new Beneq TFS 500 ALD tool Selection and evaluation of precursors for the ALD of electrode and dielectric materials with subsequent process development Deposition of a planar capacitor by using the newly developed processes 69

72 Commissioning and test of a new ALDD chamber for the FHR 300 cluster tool, which is equipped with a bank of flash lamps and dedicatedd to deposition of dielectric films Our overall target is the development of processes and process sequences, which are potentially adaptable into future commercial DRAM devices. Thee depositedd films and stacks are to be characterized with HRREM, AFM, TEM, Ellipsometrie, XRR, XRD, electrical metrology and in situ XPS. Later method will be applied for the research of film nucleation. Results Additionally to the TiN ALD process on thee Beneq TFS 500 ALDD tool processes for the ALD of aluminium oxide (from TMA and H 2 O or O 3 ) and titanium oxide (from TDMAT and H 2 O) with high reproducibility, uniformity and process speed were developed. In the context of pre- iridium and iridium oxide electrodes (top figures). After integration of the new ALD chamber for dielectrics deposition equipped with a bank of flash lamps into the FHRR 300 cluster tool cursor selection and evaluation two iridium precursors were tested t and used to produce (fig. bottom left) processes for deposition of Al 2 O 3 and TiO 2 withh TMA and H 2 O/O 3 and TTIP and H 2 O/ /O 3 were developed, enabling very high uniformity (> 97 % for Al 2 O 3 and > 90% for TiO 2 ), reproducibility and process speeds, i.e. deposition rates (10 Å/min for Al 2 O 3 and 1 Å/min for TiO 2 ). The processes were developed using special quartz crystal microbalances and weree among other techniques characterized by Ellipsometry y and in-situu XPS (fig. bottom right) approving a homogeneous ALD growth without a delay on different substrates and within a large temperature range (40 C C for Al 2 O 3 and 400 C C for TiO 2 ). film thickness in nm ellipsometry XRR HRREM number of cycles resistivity in μ cm Iridium-Schichtdicken (250 C auf Ru) Thickness of Iridium films (250 C on Ru) AFM an Iridiumschicht (400 cyc. auf Ru, R q 0,4 nm) AFM of a iridium filmm (400 cyc. on Ru, R q ~ 0.4 nm) FHR 300 Tool & XPS/AFM Gerät FHR 300 tool & XPS/AFM tool Änderung der XPS Signale von Al, C, O und Ti nach jedem j Prozessschritt (Al 2 2O 3 ALD auf TiO 2 ) Change of XPS signals of Al, C,, O and Ti after single process step (Al 2 O 3 ALDD on TiO 2 ) 70

73 Multifunktionale Speicherkonzepte Teilprojekt: Photothermisch generierte Nanoschichten und Organische Speicher Multifunctional memory concepts Particular project: Photo thermally generated nano films and organic memories Projektleiter: Prof. Dr. rer. nat. J. W. Bartha / Dr. M. Albert Mitarbeiter: Dipl.-Ing. T. Henke, Dipl.-Phys. U. Merkel Förderer: BMBF Laufzeit: Projektpartner: Helmholz-Zentrum Dresden - Rossendorf Zielstellung Das Forschungsprojekt verfolgt das Ziel, den Einsatz der Blitzlampentechnologie (Flash) zur Realisierung einer neuartigen Variante der Atomlagenabscheidung (ALD), der sogenannten Flash-ALD, und zum Auskristallisieren amorpher Siliziumschichten zu untersuchen. In einem ersten Aufgabenschwerpunkt sollen dünne Schichten durch zyklisch wiederholende thermische Zersetzung von adsorbierten Precursormolekülen infolge des Energieeintrages eines Lichtblitzes abgeschieden werden. Das Hauptaugenmerk liegt hierbei auf der Abscheidung reinster Schichten und von bislang nicht mit thermischer ALD herstellbaren Materialien. Der zweite Schwerpunkt befasst sich mit der Flash-Rekristallisation amorpher Siliziumschichten. Hierbei soll die Rekristallisation durch den Einsatz geeigneter Keimstellen derart kontrolliert werden, das genau definierte Silziumkristallite wachsen und das Polysilizium als Ausgangsmaterial für die Herstellung von Dünnschichttransistoren verwendet werden kann. Ergebnisse Mit der im Rahmen dieses Forschungsprojektes erworbenen und von FHR Anlagenbau GmbH entwickelten Versuchsanlage Cluster DS 100x4 wurden verschiedene Ansätze zur Realisierung eines Flash-ALD-Prozesses untersucht. Die Abscheidung dünner Schichten wurde hierbei durch zyklisches Blitzen auf ein in einer precursorhaltigen Atmosphäre befindliches Substrat erreicht. Als Precursor wurde TMA verwendet, Wasserstoff diente als Reduktionsmittel. In den Untersuchungen konnte nachgewiesen werden, dass das Schichtwachstum allein auf den Energieeintrag durch die Lichtblitze zurückzuführen ist und das dieser Prozess die charakteristischen Eigenschaften einer ALD aufweist (siehe Diagramme unten). Um bei der Durchführung zukünftiger Flash-ALD-Prozesse mit höherer Flexibilität arbeiten zu können, wurden zudem weitere Modifikationen der Versuchsanlage eingeleitet. Bezüglich der Rekristallisation von amorphem Silizium (a-si) wurden Untersuchungen in Zusammenarbeit mit dem Helmholz-Zentrum Dresden-Rossendorf durchgeführt. Hierbei wurde für unterschiedliche Probenaufbauten mit jeweils 200 nm a-si die Bildung von bis zu 9 μm großen Kristalliten beobachtet (siehe Bild). Weiterhin wurden verschiedene Strukturen auf ihre Eignung als Keimstelle für das kontrollierte Wachstum von solchen, mehrere Mikrometer großen Siliziumkörnern untersucht. Ferner konnte ein Durchlauf für die Fertigung eines Dünnschichttransistors in den rekristallisierten Siliziumschichten realisiert werden. Objective The objective of this research is to investigate the use of flash lamp technology for realization of a novel version of atomic layer deposition (ALD), the so called Flash-ALD, and for recrystallization of amorphous silicon films. One focus of the project is to deposit thin films by cyclically repeated thermal decomposition of adsorbed precursor molecules enforced by flash heating. The main intention at this is on deposition of films of high purity as well as of materials, that can t be deposited by thermal ALD so far. The second focus of the project addresses to flash recrystallization of amorphous silicon films. The recrystallization has to be controlled by using appropriate seeds in such a manner, that well defined silicon crystals grow and the polycrystalline silicon can be used as base material for fabrication of thin film transistors. Results 71

74 By using the tool Cluster DS 100x4, which was acquired within the scope of this project and constructed by FHR Anlagenbau GmbH, several approaches for the realisation of a Flash- by flashing periodically on a sample inside a precursor containingg atmosphere. The precursor TMA was used as source material and hydrogen serves as reducing agent in this case. In ALD process were investigated. In this connection the deposition of thin films was achieved these investigations it was verified that the film growth is induced by the flash heating only and that the processs shows typical ALD characteristics (see diagrams below). Furthermore some modifications of the tool were initiated to be able to work with a higher flexibility in future Flash-ALD processes. Concerning the recrystallization of amorphous silicon (a-si) further studies have been carried out in cooperation with Helmholz-Zentrum Dresden-Rossendorf. At this forr different stacked samples each with a 200 nm thick a-si film the formation of silicon crystallites up to 9 μm was observed (see figure). Furthermore different structures were investigated regarding their suitability for seeding the growth of such silicon grains with a size of a feww micrometers in a controlled manner. Additionally a complete processs flow for the fabrication of a thin film transistorr using the recrystallized silicon films was realized. Wachstum in Å je Blitzzyklus Growth rate in Å per flash cycle Energiedicht te / Energy density in J/cm² Wachstum je Blitzzyklus als Funktion der Energiedichte bei der Flash-ALD mit TMA Growth per flash cycle dependent on the flash energy density for the Flash-ALD using TMA Wachstum in Å je Blitzzyklus Growth rate in Å per flash cycle 0. 0, ,20 0, , ,05 0, TMA-Partiald ruck / TMA partial pressure in Pa Wachstum je Blitzzyklus bei Variation der Precursordosis für die Flash-ALD mit TMA Growth per flash cycle dependent on the precursor dose for the Flash-ALD using TMA REM-Aufnahme von Siliziumkristalliten nach Rekristallisation einer 200 nm dicken a-si- 230 J/cm² ² Schicht mit einer Energiedichte von SEM image of silicon crystallites after recrystallization of a 200 nm thick a-si film with a flash energy density of 230 J/cm² Mikroskopaufnahme eines in rekristallisiertem Silizium gefertigten Dünnschichttransistors Microscope image of a thin filmm transistor made in recrystallized silicon 72

75 Einsatz von kontinuierlicher VHF-Plasma-CVD mittels Linearquellen zur Deposition von a-si:h/μc-si:h Solarzellen Implementation of a continuous VHF-plasma-CVD process using a linear plasma source for the deposition of a-si:h/μc-si:h solar cells Projektleiter: Prof. J.W. Bartha/ Dr. M.Albert Mitarbeiter: Dipl.-Ing T. Zimmermann/ Dipl.Wi.-Ing. C. Strobel Förderer: Unterauftrag v. FZJ/BMU Laufzeit: Projektpartner: IEK5-Photovoltaik, Forschungszentrum Jülich GmbH, Von Ardenne Anlagentechnik GmbH Dresden, FAP GmbH Dresden Zielstellung Ziel des Projektes ist die Herstellung von a-si:h and μc-si:h Solarzellen bei gleichzeitig hohen Wirkungsgraden und hoher Produktivität der Abscheidung. Dank der Verwendung einer Linienquelle ist der Einsatz hoher Frequenzen (VHF-PECVD) bei großen Substratflächen möglich. Dadurch kann auch bei hoher Abscheiderate homogen abgeschieden werden. Im Gegensatz zu konventionellen Anlagen (statische Abscheidung) ist eine Relativbewegung des Substrates zur VHF-Linearelektrode notwendig (dynamische Abscheidung). Es ist daher zu klären, ob die Dynamik der Abscheidung eine Einschränkung für die Materialqualität und Solarzelleneigenschaften darstellt. Ergebnisse Optimierte a-si:h p-i-n Solarzellen mit ZnO/Ag Rückkontakt konnten bei Projektende mit Wirkungsgraden von 10,3 % (initial) bzw. 7,5 % (stabil) hergestellt werden. Die Abscheiderate beim a-si:h Standardprozess lag bei 3,9 nm. m/min. Am IHM wurde mit Hilfe der existierenden VHF-PECVD-Durchlaufanlage eine Geschwindigkeitsserie des Substrates bei der i-schichtabscheidung von a-si:h p-i-n Solarzellen durchgeführt. Es zeigte sich dabei, dass sowohl der anfängliche als auch der stabilisierte a-si:h Solarzellenwirkungsgrad unabhängig von der Geschwindigkeit des Substrates (Höchstgeschwindigkeit 495 mm/min) sind. Bei den mikrokristallinen Si-Schichten für Solarzellen wurden vor allem die p-schichten verbessert (Dunkelleitfähigkeit >10-2 S/cm bei einer Schichtdicke von nm). Auf Basis dieser μc-si:h p-schichten wurden anschließend komplette μc-si:h p-i-n Solarzellen hergestellt (Wirkungsgrad ca. 6,5 %, Füllfaktor 68 %). Auch bei der Deposition von μc-si:h Solarzellen hat sich herausgestellt, dass die erzielbaren Wirkungsgrade und Füllfaktoren unabhängig von der Durchlaufgeschwindigkeit des Substrates sind. Die Homogenität der μc- Si:H Abscheidung sowohl in Bewegungsrichtung des Substrates als auch quer dazu konnten sichergestellt werden. Die Ergebnisse wurden anschließend an eine Anlage mit Doppellinienquellen im Forschungszentrum Jülich transferiert. Objective The focus of the project is on the deposition of a-si:h and μc-si:h solar cells with high efficiencies and productivity, respectively. By using a linear plasma source high excitation frequencies (VHF-PECVD) can be applied on large areas. Thus a homogeneous deposition at high rates is possible. Contrary to conventional systems (static deposition) a movement of the substrate relative to the linear VHF-electrode is necessary (dynamic deposition). Whether the dynamics of the deposition is a limitation for the material quality and solar cell properties has to be clarified. Results Optimized a-si:h p-i-n solar cells with ZnO/Ag back contact could be produced at the end of the project with efficiencies of 10,3 % (initial) and 7,5 % (stabilized). The deposition rate at the a-si:h standard process was 3,9 nm. m/min. At IHM a series of varied substrate velocities during i-layer deposition of a-si:h p-i-n solar cells was carried out using the available VHF- 73

76 PECVD system. It was shown that the initial as well as stabilized a-si:h solar cell efficiency was independent of the substrate velocity (highest velocity testedd 495 mm/min). In case of microcrystalline silicon layers for solar cells especiallyy the p-layers were improved (dark conductivity >10-2 S/cm att a layer thickness of nm). Next, on the basis of this t μc- factor Si:H p-layers complete μc-si:hh p-i-n solar cells were producedd (efficiencyy 6,5 %, filll 68 %). Again, at the deposition of μc-si:h solar cells the independency of achievable efficiencies and fill factors of the substratee velocity could be identified. The homogeneity of μc-si:h deposition in the movement direction of the substrate as a well as perpendicular to it could be ensured. The results were then transfered to a deposition system with linear double plasma sources at Forschungszentrum Jülich. Wirkungsgrad (%) initial h AM 1.5 FF 1,00 0,90 0,80 0,70 0,60 FF 1,00 0,90 0,80 Uoc (V) 0,70 0,60 0,50 Uoc Jsc Jsc (ma/cm²). 0 0,50 0, Substratgeschwindigkeit (mm/min) Substratgesc hwindigkeit (mm/min) Solarzellenkenngrößen initialer/ degradierter Wirkungsgrad (offene Kreise /Dreiecke), Füllfaktor (schwarze Quadrate), U oc (schwarze Rauten) und J sc (offene Quadrate) von a-si:h p-i-n Solarzellen, hergestellt mit unterschied- lichen Substratgeschwindigkeiten. Einfluss der Durchlaufgeschwindigkeit auf Kristallinität, Leerlaufspan nnung (U oc ) und Kurzschlussstromdichte (J sc c). 74

77 Aufskalierung einer VHF-Linienquelle für höhere Frequenzen und größere Bearbeitungsbreiten Scale-up of VHF-linear plasma source for higher excitation frequencies and larger working area Projektleiter: Prof. J.W. Bartha/ Dr. M.Albert Mitarbeiter: Dipl.-Ing B. Leszczynska Förderer: BMU Laufzeit: Projektpartner: FAP GmbH Dresden Zielstellung Ziel des Projektes ist die Weiterentwicklung des dynamischen Verfahrens zur hochproduktiven Abscheidung von amorphen und mikrokristallinen Siliziumschichten und die Erweiterung der Leistungsfähigkeiten der VHF PECVD - Linienquelle. Die erste Untersuchungsrichtung ist die Erweiterung des Frequenzbereiches der Quelle bis 150 MHz. Wir erwarten eine Verbesserung der Stabilität der amorphen, mikrokristallinen und mikromorphen Solarzellen bei höheren Abscheideraten. Wir gehen davon aus, dass mit höheren Anregungsfrequenzen die Schichten mit wesentlich geringerem Ionenbeschuss erzeugen werden. Im zweiten Schwerpunkt des Projektes wird der Arbeitsbereich der VHF-Linienquelle bis zu einer Länge von 70 cm vergrößert, um die Produktivität des Abscheidungsprozesses zu erhöhen. Ergebnisse Erste Untersuchungen der Plasmahomogenität mit 120 MHz Anregungsfrequenz wurden abgeschlossen. Es wurden statische Abscheidungsversuche auf Al-Folie durchgeführt, bei denen der Wagen in der Mitte unter der Linienquelle stand, und die Plasmahomogenität bestimmt. Die intrinsischen a-si:h Schichten wurden mit 120 MHz Anregungsfrequenz abgeschieden. Eine dynamische Abscheiderate von 5,4 nm m/min wurde erreicht, die 1,4-mal besser als beim 81,36 MHz-Prozess war. Der neue 120 MHz- Prozess zeigt keine negativen Einflüsse auf die Schichteigenschaften. Durch Variation der Prozessparameter konnte ein Initialwirkungsgrad von 8,85 % (880 mv, 14,05 ma/cm², 71 % Füllfaktor) erreicht werden. Degradierte Zellen hatten Wirkungsgrade bis maximal 6,16 % (860 mv, 12,96 ma/cm², 55 % Füllfaktor). Die mikrokristallinen Siliziumdünnschichtsolarzellen wurden ebenfalls mit einem 120 MHz - Prozess hergestellt. Die Initialwirkungsgrade wurden beim 120 MHz Prozess mit einer 32 % höheren i-schicht- Abscheiderate als beim 81,36 MHz Prozess erreicht. Objective The objective of this project is the further development of dynamic highly productive a-si:h and μc-si:h solar cells deposition process and improvement of the VHF-PECVD linear plasma source efficiency. The first step is the increase of excitation frequencies up to 150 MHz. As a result the improvement of amorphous, microcrystalline and micromorphous solar cell stability is expected. At higher excitation frequencies the silicon layer can be deposited with reduced ion-bombardment energy. The second research goal is the increase of VHF linear plasma source working area up to 70 cm length in order to improve the deposition efficiency. Results The studies of plasma homogeneity using excitation frequency of 120 MHz have been performed. Several static deposition processes on aluminium foils have been made, whereas the substrate carrier has been parked at a fixed position in the centre beneath the linear plasma source. The plasma homogeneity has been established. Next, the a-si:h intrinsic layers have been produced using 120 MHz excitation frequency. The 5.4 nm m/min dynamic deposition rate has been determined, which is 1.4 better in comparison with MHzprocess. It has been also demonstrated that the 120 MHz process has no negative influence 75

78 on the semiconductor properties of the intrinsic layers. Furthermore, the amorphous silicon thin film solar cells, with intrinsic layers deposited at 120 MHz frequency, have been fabricated. Through a variation of the process parameters the initial efficiencies of 8.85 % have been achieved (880 mv; ma/cm²; 71 % fill factor). The best degraded cells reached about 6.16 % (860 mv; ma/cm²; 55 % fill factor). The microcrystalline silicon thin film solar cells, with intrinsic layers deposited at 120 MHz frequency, have been fabricated. The initial efficiency has been achieved at the 120 MHz frequency with intrinsic layer deposition rate enhanced by about 32% vs MHz. 3D Abscheideratediagramm für intrinsisches a-si mit 81,36 MHz (li.) bzw. 120 MHz (re.) (Prozessdruck 45 Pa). Amorphous silicon layer- 3D deposition rate profiles: MHz (le.), 120 MHz (ri.) (process pressure 45 Pa). 0,0 0,3 0,6 0,9 0,0 0,3 0,6 0, Current density (ma/cm 2 ) = 9.16 % = 8.85 % FF = 73 % FF = 72 % Voc = 0.88 V Voc = 0.88 V Jsc = -14,34 ma/cm² Jsc = ma/cm² MHz 120 MHz -18 Voltage ( V) Initiale J-V Kennlinien von a-si:h Solarzellen, i- Schicht abgeschieden mit: 120 MHz (geschlossene Kreise) und 81,56 MHz (offene Kreise). Initial J V characteristics of a-si:h solar cells, i- layer deposited at: 120 MHz (closed circles) and MHz (open circles). Current density (ma/cm 2 ) = 6.46 % FF = 68 % Voc = 0.47 V Jsc = -20,07 ma/cm² Voltage ( V) = 6.87 % FF = 66 % Voc = 0.51 V Jsc = ma/cm² MHz 120 MHz Initiale J-V Kennlinien von μc-si:h Solarzellen, i- Schicht abgeschieden mit: 81,36 MHz (geschlossene Kreise) und 120 MHz (offene Kreise). Initial J V characteristics of μc-si:h solar cells, i- layer deposited at: MHz (closed circles) and 120 MHz (open circles). 76

79 Computergestütztes Design von HF- und VHF-Industriereaktoren Computer-aided design of HF and VHF Industry reactors Projektleiter: Prof. J.W. Bartha/ Dr. M.Albert Mitarbeiter: Dipl.-Ing. S. Leszczynski Förderer: SAB Laufzeit: Projektpartner: FAP GmbH Dresden Zielstellung Ziel des Projektes ist die computergestützte Entwicklung von Reaktoren für die plasmagestützte CVD (Plasma Enhanced Chemical Vapour Deposition) Technologie im HF- und VHF-Bereich. Das Vorhaben beinhaltet eine Entwicklung von neuen großflächigen (bis ca. 2m 2 ) Reaktoren für den Einsatz in Forschungseinrichtungen und in der industriellen Fertigung. In einem ersten Aufgabenschwerpunkt soll die Ausbreitung elektromagnetischer Wellen von verschiedenen Elektrodensystemen berechnet und optimiert werden. Das Hauptaugenmerk liegt hierbei auf der Änderung der Elektroden- sowie Einspeisungsgeometrie im unterschiedlichen Frequenzbereich. Der zweite Schwerpunkt befasst sich mit verschieden Plasmamodellen sowie Strömungsmodellen. Die Modelle sollen derart ausgewählt und optimiert werden, dass die als Hilfsmittel für das Verständnis der wichtigen physikalischen Prozesse im Reaktor verwendet werden kann. Ergebnisse Die elektromagnetische Feldverteilung der verschiedenen Elektrodensysteme im breiten Frequenzbereich wurde berechnet. Darüber hinaus wurden die Ergebnisse mit Messungen der fertigen Strukturen verglichen. Wichtige Probleme mit der Rechenleistung während der Analyse großflächiger dreidimensionaler Strukturen wurden erkannt, und sollen im nächsten Schritt gelöst werden. Objective The objective of this project is a computer-aided development of reactors for Plasma- Enhanced Chemical Vapour Deposition (PECVD) technology in the HF and VHF range. The project contains a development of large-area (up to approx. 2 m 2 ) reactors for research, as well as industrial applications. One focus of the research is computation and optimization of the electromagnetic wave propagation in various electrode systems, with special consideration to modification of the electrode geometry and the power feed setup. The calculations should be made over the diverse frequency range. The second focus of the project is concerned with plasma and fluid flow models, which due to selection and optimization process will be used as a tool for understanding significant physical process in the reactor chamber. Results The electromagnetic field distribution of diverse electrode geometries in a wide frequency range has been calculated. The results have been additionally compared with measurements of already fabricated structures. Furthermore some issues with a computational power during the analysis of large-area 3D-structures have been recognized, which have to be solved in the future. 77

80 Material-Screening und Entwicklung von Verfahren zur Physikalischen Abscheidung von Barriere und Saatschicht in Dimensionen von sub 50 nm weiten Leitbahnen mit anspruchsvollen Aspektverhältnissen Material Screening and Development of Methods for the Physical Deposition of Barrier and Seed Layer in Line Dimensions below 50 nm with a Challenging Aspect Ratio Projektleiter: Prof. Dr. J. W. Bartha / Dr. C. Wenzel Bearbeiter: Dr. C. Klaus, Dipl.-Ing. H. Wojcik, Dipl.-Ing. C. Kubasch Förderer: SAB GmbH Laufzeit: Zielstellung Der Schwerpunkt ist die Evaluierung von physikalischen (PVD) und chemischen (ALD/CVD) Abscheidungsverfahren für die Herstellung von Barriere- und Saatschichten für den Einsatz in der Mikroelektronik. Dazu werden Materialien und Materialkombinationen auf ihre Barrierenstabilität, Elektroplattierbarkeit und ihre Technologieverträglichkeit untersucht (Material-Screening). Als zukunftsweisende Technologie für die Abscheidung von Barriereund Saatschichten wird verstärkt auf den Einsatz der Atomlagenabscheidung (ALD) gesetzt. Ein Ziel dabei ist es, den Wissensstand über die Wechselwirkung zwischen Prozessparametern und Schichteigenschaften zu erweitern. Ergebnisse Die Wirksamkeit von Ru-basierten Dünnschichten, die durch Beimischung von W bzw. W(N), Mn oder Ta bzw. Ta(N) modifiziert wurden, als Diffusionsbarriere gegenüber Cu und die Möglichkeit einer gleichzeitigen direkten galvanischen Kupferabscheidung auf diesen Schichten wurde untersucht. Die Ru-Mischschichten mit W und Mn wurden mittels simultanem Kathodenzerstäuben von zwei Targets und die Ru-Ta(N)-Schichten durch eine Sandwichabscheidung mit 0,1 nm/zyklus hergestellt. In Abhängigkeit vom W:Ta-Anteil wurde entweder eine gute bis sehr gute Barrierewirkung oder eine gute Plattierbarkeit erreicht. Mit der Kombination Ru-Mn konnte das Ziel einer direkt elektroplattierbaren Cu-Diffusionsbarriere erreicht werden. Ru Mn Schichten waren auf SiO 2 -Dielektrika mindestens bis 600 C und auf (ultra-) low-k-dielektrika mindestens bis 350 C temperaturstabil und verhinderten nach subsequentem Bias Thermal Stress (BTS) für 30 min bei 2 MV/cm, 250 C, effektiv eine Cu-Felddrift, s. Bild. Die exzellente Barrierewirkung und die thermodynamische Stabilität beruhen nicht auf einer selbstformierenden Mn-Si-O-Barriereschicht, sondern auf der Verstopfung von Korngrenzen und der geringen Diffusivität von Mn in Ru. Die Cu-Benetzung von Ru-Mn übertraf die Cu-Benetzung von PVD-Ru-Schichten, s. Bild. Bis zu einem Anteil von 10 % Mn gestaltete sich die Cu-Galvanik als einfach durchführbar, s. Bild. Der Vorteil einer Ru-Mn-Barriereschicht besteht vor allem in deren geringem spezifischen elektrischen Widerstand (besonders wirksam am Viaboden) und in der Vereinfachung des konventionellen Schichtstapels aus Barriere- und Cu-Keimschicht, und ermöglicht damit die weitere Skalierbarkeit von Cu- Damaszenstrukturen. Ein weiterer Schwerpunkt ist die Untersuchung der Elektromigration an der Grenzfläche Cu- Leitbahn und Cu-Diffusionsbarriere. Hierfür wurde ein spezieller Messplatz eingerichtet, um eine Oxidation der Probe bei erhöhter Temperatur und Stromdichte zu vermeiden und die Auswirkungen des Materialtransports elektrisch zu beobachten. Als geeignete Teststruktur hat sich die s. g. Spalt-Struktur herausgestellt, bei der gezielt an der Grenzfläche Cu-Leitbahn/Diffusionsbarriere Elektromigration auftritt (s. Bild). Versuchsergebnisse zur Elektromigration an Cu-Leitbahnen auf TaN/alphaTa-Barriereschichten zeigt das letzte Bild. Diese Ergebnisse dienen als Referenz für die Evaluierung kommender Untersuchungen an Rubasierten Barriereschichten. 78

81 Objective The focus of this project is the fabrication of barrier layerss and seed layers by means of physical (PVD) and chemical (ALD/CVD) deposition techniques and thee evaluation for their application in the microelectronic industry. Therefore, different materials and material systems will be investigated (material screening) with regard to the barrier stability, the suitability for electro plating, and the compatibility with technological standards in general. As a key technique for the microelectronic industry the atomic layer deposition (ALD) is primarily used for the layer deposition to enlarge the knowledge about the influence between the process parameters and the material properties of the deposited layer. Results Ru based thin films, which are modifiedd by W, W(N), Mn or Taa intermixture, were investigated with respect to barrier stability and galvanic Cu deposition. Ru-W andd Ru-Mn layers have been deposited by simultaneous co-sputtering and the Ru-Ta(N) layers by using a sandwich structure of 0.1 nm per cycle. As a result, Ru-Ta and Ru-W layers exhibited either a good Cu barrierr stability or a good Cu plateability. With the material combination of Ru-Mn a good barrierr stability and a good plateability has been achieved. Ru Mn 1 10 layers on top of SiO 2 or on top of a low-k dielectric are temperaturee stable up to 6000 C or 350 C, respectively and inhibit Cu ion drift into the t dielectric after bias tempera- stabilities ture stress (30 min, 2 MV/cm, 250 C), s. fig. The excellent barrier and temperaturee are originated in a self formation of a Mn-Si-O barrier film caused by Mnn segregation. In fact the stuffing of the grain boundaries andd the low diffusivity of Mn M in Ru are responsible for this effect. In addition, the Cu-wetting on Ru-Mn is better than on PVD Ru layers, s. fig., and the galvanic process is easy to handle up to Mn concentrations of o 10 at.%, see fig. As a techno- Cu fill of logicall merit, Ru-Mn structures < 50 nm by a combination of barrier and seed layer. films potentially offer a very low via line resistance and enablee damascene Flächendichte der durch BTS (250 C, 5 min) in ein thermisches Oxid (100 nm) gedriftetenn Cu- Feldstärke für verschiedene Barriereschic chten. Ionenn in Abhängigkeit von derr elektrischenn (zus. feldfreie Temperung 1 h bei 350 C in N 2 /H 2 2-Atmosphäre). Density of Cu ions that have drifted into a thermal oxide (100 nm) during BTS (250 C, 5 min), as a function of the electric field, for diverse barriers. (add. pre-annealing 1 h at 350 C in N 2 /H 2 2- atmosphere). AFM-Bild einer Probe mit 15 nm PVD Ru95Mn5 / 20 nm Cu (bedampft), nach 30 min bei 600 C Temperung imm UHV: Exzellente Klassifizierung der Cu-Benetzung (R S 1,77, R q = 2 nm, XPSnm PVD Signal für Ru 0 at.%. AFM-picture of a sample comprising 15 Ru95Mn5 / 200 nm Cu (evaporated) after a 30 min anneal att 600 C in UHV: Excellent classification of the Cu-wettability (R S 1.7, R q = 2 nm, XPS-signal of Ru 0 at.%. Another key aspect of the project is the investigation of electromigration at the interface of the Cu line and the Cu diffusion barrier. Therefore, a designated measurement site has been established to prevent sample oxidation during testing at elevated temperature and high current density and to study the electrical change caused by the t material transport. For these tests, the slit structure is used because the electromigration appears a at the interfacee between the Cu barrier and the Cu line, see fig. Results of the electromigration tests of Cu lines on top 79

82 of a TaN/ /alphata barrier are shown the figure below. These results are used as a reference for the following evaluation of Ru based barrier layers. REM-Aufnahme von direkt elektroplattiertem Cu auf einer Ru 97 Mn 3 Barriere- / Keimschicht, die mittels Co-Sputtern in einer Damaszenstruktur abgeschieden wurde (50 nm im Feldgebiet). SEM-picture of directly electroplated Cu on topp of a combined barrier and seed layer, deposited via co- sputtering into a damascene structure (50 nmm as measured in the field). Spalt-Struktur für Elektromigrationsuntersuchungen: a) Mikroskopaufnahme der Struktur mit Spalt- Stromverlauf, Elektromigration tritt an der Kupferbarriere/Kupferleitbahn-Grenzfläche auf. breiten zwischen 5 μm und 50 μmm in der Draufsicht, b) schematischer Querschnittt mit skizziertem Slit-Structure for electromigration tests a) microscope image of the structure with slit widths of 5 μm to 50 μmm in top view, b) schematic cross section of the structure with illustrated current path, electromigration occurs at the interface between the copper diffusion barrier b and the copper line. Widerstandsänderung am 10 μm, 30 μm und 50 μm Spalt durch Elektromigration von Cu auf einem TaN/alphaTa Barrieresystem bei 200 C und 13 MA/cm² für 1 h, die Nicht- linearität wird durch die Überlagerung von elektrischen, thermischen und mechanischen Stress hervorgerufen Change in resistance of a 10 μm, 30 μm, and 50 μm slit width caused c by electromigration of Cu on top of a TaN/alphaTa barrier system at 200 C and 13 MA/cm² for 1 h, the non- to over- lapping effects of the electrical, mechanical, and thermal stresses linearity of the curves is attributed 80

83 Entwicklung und Realisierung einer Technologie zur Herstellung von optimierten Röntgennanolinsen auf Si-Basis Development and realization of a technology for fabrication off optimized X-ray- Uni Karlsruhe, Karlsruher Inst. für Technologie (KIT), Prof. Grunwaldt Zielstellung Auf der Basis des im Projekt Nanosonde für die Rastermikroskopie und tomographie mit nano-lenses based on silicon Projektleiter: Prof. Dr. J. W. Bartha / Dr. C. Wenzel Mitarbeiter: Dr.-Ing. K. Richter, Dipl.-Phys. A. Jahn, Dipl. -Phys. U. Merkel Förderer: BMBF Laufzeit: Projektpartner: TU Dresden Inst. für Strukturphysik, Prof. Schroer S (Leiter Verbundprojekt), harter Röntgenstrahlung... (05 KS7OD1) entwickelten Röntgenrastermikroskops am Strahl- rohr P06 bei PETRA III am DESY in Hamburg soll ein Rasterbeugungsmikroskop für Ortsin i Physik, auflösungen im sub-10-nm Bereich aufgebaut werden. Ess findet Anwendung Chemie, Biomedizin, Geo- und Umweltwissenschaften, Materialwissenschaften bis hin zur Nanotechnologie. Ein Prinzipschema der Arbeitsweise eines solchen Beugungsmikroskopes und des Einsatzes der darzustellenden Röntgenlinsen ist im Bild B zu sehen. Anwendung der Röntgennanolinsen (NFLs)) für Ptychographieexperimente (Quelle: Susanne Hönig, Inst. Strukturphysik, TU Dresden) Application of the X-ray nanolenses (NFL) for ptychgraphy experiments (source: Susanne Hönig, Inst.. Structural Physics, TU Dresden) Schwerpunkt im Teilprojekt am IHM istt die Weiterentwicklung von refraktiven Röntgennano- Linsen linsen (NFLs) aus Silizium und die Herstellung sog. adiabatisch fokussierenden (AFLs) ebenfalls aus Silizium. Basistechniken für die Herstellung der Röntgenlinsen sind die Fotolithografie und das reaktive Ionenätzen nach der Bosch-Technologie (auch als ASEE Prozess bekannt). Die extrem hohen Anforderungen an eine möglichst geringe Oberflächenrauhigkeit der Ätzflächen, an eine exakte Strukturübertragung von der Maske ins Silizium bis b zu Ätztiefen von 50 μm (NFL) und 20 μm (AFL) und Aspektverhältnissen von bis 15 erfordern eine mehrfache Iteration von Maskenentwurf und Ätztechnologie. 81

84 Eine weitere Aufgabe besteht in der technologischen Realisierung von Mikroreaktoren, die eine Spektroskopiee chemischer Reaktionen im (Kooperation mit Uni Karlsruhe) ) Rastermikroskop ermöglichen sollen Ergebnisse Der ASE Prozess setzt sich aus einer alternierendenn Folge vonn Ätz- Passivierungsschritten zusammen, die so aufeinander abgestimmt sind, dass durch die Seitenwandpassivierung eine sehr hohe Anisotropie des Ätzprozesses erzielt wird und u eine möglichst geringe Maskenunterätzung erreicht wird. Durch Reduzierung des Prozessgasdrucks und Minimie- rung der Intervallzeiten für die Passivierungs- und Ätzschritte wurde w eine drastische Redu- bzw. zierung der Seitenwandrauhigkeit von ca. 150 nm auf ca. 50 nmm erzielt. Diee Einhaltung die Erzielung einer sehr hohen Maßhaltigkeit bei der Strukturübertragungg stellt die größte Herausforderung dar (Bild ) und die ersten Messungen mit diesen Nanolinsen zeigen einen guten Stand bei der Entwicklung. Im Hinblick auf die Erzeugung adiabatischer Linsen mit stark reduzierten r lateralen Abmes- sungen der Strukturen wurde ein Ätzprozess entwickelt, der die Vorteile des zyklischen Bosch-Prozesses mit denen eines kontinuierlich verlaufenden Siliziumätzprozesses verbin- als auch im Ätzschritt des Bosch-Prozesses wirksam, so dasss eine ständig fortwährende Seitenwandpassivierung wirkt. Dagegen erfolgt die Ätzung des Siliziums S nur zyklisch in defi- det. In diesem Fall ist das polymerbildendee Gas kontinuierlich sowohl im Passivierungsschritt nierten Intervallen. Das Bild unten zeigt erste Ergebnisse speziell für denn Fall sehr kleiner Strukturen mit einem Aspektverhältnis von μm Refraktive Röntgennanolinse (NFL) Refractive X-ray nanolenses 82

85 2 μm Adiabatische Röntgennaolinse (AFL) Adiabatic X-ray nanolenses Objective Based on an X-ray scanning microscope built up within the project Nano-probe for the scanning microscopy and tomography by hard X-rays... (05 KS7OD1) at the beam hole P06 at PETRA III a scanning diffraction microscope with a local resolution in the sub-10nm-range is to be constructed. It can be applied in physics, chemistry, biomedicine, geo- and environ- scheme mental science, materials science to thee point of nanotechnology. A principal working of such a microscope is given in the scheme above. Main tasks are thee further development of refractive X-ray-nanolenses (NFLs) made of silicon or diamond and the creation of so called adiabatic focusing lenses (AFLs). The basic techniques of the high quality nanolens fabrication are photolithography and plasma assisted reactive ion etching with the Bosch process (also called ASE- advanced silicon etch). The challenge of this two techniques is to transfer etch mask pattern into silicon with an high aspect ration of about 15 and etching depthss of 50 μmm (NFL) an 20 (AFL) perfectly. The creation of several generations of silicon lenses requiress a continued adjust- and a ment of the pattern layout off the lenses, a further developmen nt of the mask technology continuous optimization of the t silicon etch to fulfill all requirements of the lens structures (lateral dimensions, aspect ratio, r parallelism and roughness of sidewalls) ). A further task is the technological realization of micro reactors to enablee the spectroscopy of chemical reactions in a scanning microscope. Results Taking into account the extreme requirements regarding the selectivity s of the etching process the parallelism of the sidewalls and thee low surface roughness various DRIE processes have to be developed. These processes consist of alternating passivation and etching steps. The passivation of the sidewalls guaranteess an extreme anisotropy. The mask undercutt has to be nearly zero. We achieved a drastic decrease of the roughness of the sidewalls from about 150 nm (in the standard version) to about 50 nm by reducing the process gas pressuree and minimization of the duration of the passivation and etching steps (fig.). With regardd to the creation of adiabatic lenses with drastically reducedd lateral dimensions we w developed an etching process utilizing the advantages of the cyclic Bosch process with those of a continuous silicon etching process (see fig.) ). At the continuous process the polymer forming gas creates a passivation layer at the sidewalls of the patterns in the passivation step ass well as in the etching step. It is always present in the plasma reactor. In contrast a cyclic etching e of silicon occurs in well defined steps. First results show the producibility of 1 μm structures with a depth of 10 μm. 83

86 Through Silicon Via (TSV) am Beispiel eines Sensors für biomedizinische Anwendungen Through Silicon Via (TSV) for biomedical sensor applications Projektleiter: Bearbeiter: Partner: Prof. Dr. rer. nat. J. W. Bartha / Dr. rer. nat. V. Neumann Dipl.-Ing. W. Haas, Dipl.-Ing. (FH) A. Hiess, Dipl.-Phys. A. Jahn, Dipl.- Phys. U. Merkel, Dr.-Ing. C. Richter, Dr. C. Wenzel Institut für Bio- and Nanosysteme (IBN-2), Forschungszentrum Jülich Zielstellung Ziel des Projektes ist es, Verfahren der 3D-Integration mit den am IHM vorhandenen Basistechnologien für die Herstellung integrierter Schaltkreise und von Nano- und Mikrosystemen zu entwickeln. Die Eentwicklung erfolgt am Beispiel eines Sensors für biomedizinische Anwendungen des Instituts für Bio- und Nanosysteme des Forschungszentrums Jülich. Der Sensor benötigt eine SiO 2 -Oberfläche, in die ein oberflächlich vergoldetes Mikroelektrodenarray (MEA) eingebettet ist. Die Elektroden sollen mittels TSV durch den Sensorchip hindurch kontaktiert werden. Die Dichte der 10 μm-sensorelektroden beträgt 10 3 /cm 2. Die 40 μm großen Kontaktlöcher sind so angeordnet, dass deren Verdrahtung auf der Rückseite des Substrats erfolgen kann. Der Aufbau (s. Bild) erfordert die Optimierung mehrerer Technologieschritte, wie z. B. das reaktive Ionenätzen (DRIE bzw. ASE), die plasmagestützte CVD zur Glättung der Kontaktlochoberfläche mit einem Isolator (z. B. SiO 2 ), die PVD-Abscheidung von Haft-, Barriere- und Keimschicht sowie die galvanische Abscheidung (ECD) zum Aufbau der MEA (Cu/Ni/Au) und ihrer Kontaktierung (Cu) sowie die Rückseitenstrukturierung. Eine besondere Herausforderung stellt die Prozessierung abgedünnter Wafer dar, die eine wesentliche Voraussetzung für die Realisierung von kleinen Kontaktlochdurchmessern mit Hilfe der vorhandenen Techniken ist. Objective Aim of the project is the development of a 3D intergration technology applying basic processes used in the fabrication of IC s, nano- and microsystems, and sensors. This development is directed to a sensor for biomedical application from the IBN-2 Institute for Bio- and Nanosystems at Juelich Research Centre. The sensor requires a SiO 2 surface on the front side working area with an array of small imbedded electrical contacts having a superficial gold layer. The contact to their corresponding terminals at the backside of the substrate should be realized by TSV. The contact density is in the order of 10 3 /cm 2. Their TSV contact holes are located in the same way, and wiring should be possible on the backside. The developed sensor set-up (see fig.) forces the optimization of constitutive TSV process steps, e. g. deep reactive ion etching (DRIE or ASE), plasma enhanced CVD (PECVD) for smoothing the inner surface and deposition of an insulator material (e. g. SiO 2 ), PVD metallization of adhesive, barrier, and seed layers, and electrochemical deposition (ECD) to MEA build up in a three step process (Cu/Ni/Au) and to enhance the Cu contact layer thickness in vias as well as back side contacts. Specially challenging is the handling of thinned wafers being a precondition for realization of small diameters of contact holes by deployed techniques. Ergebnisse Ausgangssubstrat ist ein auf 180 μm abgedünnter p-dotierter Si-Wafer, der zunächst beidseitig 1,5 μm dick oxidiert wird. Nach Aufbringen einer fotolithografischen Maske auf der Rückseite wird durch die eine kombinierte nass- und trockenchemische Ätzung die SiO 2 - Membran geöffnet. Nach Entfernen der Lackmaske wird eine zweite Maske für das reaktive Si-Ionenätzen (RIE) der through silicon vias ( 40 μm) auf der Rückseite aufgebracht. Eine besondere Herausforderung dieses Bosch-Ätzprozesses ist, exakte vertikale Löcher mit einer möglichst geringen Rauigkeit zu erzeugen ohne dass der Durchmesser des Loches beim Auftreffen auf die SiO 2 -Membran (Vorderseite) infolge von Rückstreuung aufgeweitet wird. In 84

87 einer zweiten Ätzung erfolgt eine Aufweitung der Lochmündung auf 500 μm zur Beseitigung der Unterätzung sowie von Polymerresten. Die Aufweitung ist auch vorteilhaft für die folgen- bei den Schichtabscheidungen. Die mittelss (PECVD)-Tetraethylorthosiloxan-(TEOS)-Prozess etwa 340 C erfolgende Abscheidungg der elektrischen Isolation der Kontakte verringert gleichzeitig auch die Oberflächenrauigkeit der Vias auf unter 1001 nm. Danach erfolgt die PVD-Abscheidung einer haftvermittelnden Diffusionsbarriere (TaN/ Ta) und einer Cu-Keimschicht für den nachfolgenden galvanische en Prozess.. Diese Abfolge sollte ohne Vakuumunterbrechung realisiert werden. Nach Aufbringen einer Maske auf der Rücksowie eine seite erfolgt eine Verstärkung der Viass und der Leitbahnen mittels Cu-Galvanik auf der Vorderseite präpariert. PVD-Beschichtung mit Titan/ Gold als Oxidationsschutz. In den nächsten Schritten werden die Mikroelektrodenarrayss Zuerst wird mittels RIE die thermische Oxidschicht geöffnet und die darunterliegende TaN/ Ta-Diffusionsbarriere im Via entfernt. Danach werden die 1,5 μm tiefen und 10 μm brei- gefüllt. ten Öffnungen durch einen dreistufigen ECD-Prozess mit Kupfer, K Nickel und Gold Den Abschluss bildet das Rückätzen der Barriere-, Haftvermittler- und Keimschicht auf der Rückseite. Im Ergebnis der Untersuchungen wurden die Prozesspara ameter optimiert. Die zur Her- wurde an ersten Wafern mitt geringem Auskerben ( notching ) erreicht (s. Bild). Die nachfol- stellung der TSV-Kontaktlöcher erforderliche Si-Tiefenätzung auf die SiO 2 -Stoppschicht gendee Isolatorbeschichtung führte zu zufriedenstellenden Ergebnissen für Aspektverhältnisse (AR) zwischen 5: :1 und 10:1 (s. Bild). Der Isolator erreicht Durchbruchfeldstärken von 9 bis 10 MV/cm. Um bei der PVD-Beschichtung müssen ca. 2,5 μm auf der Waferoberfläche abgeschiedenn werden. Ein anderer eine Schichtdicke von etwa 25 nm im Bereich des Viabodens zu erreichen, Schwerpunkt 2011 war die Optimierung der Abscheidebedingungen ann zwei verschiedenen Beschichtungsanlagen, für die als Qualitätskriterium die nachfolgende erfolgreiche galvanizu 1 A/dm 2 sche Verstärkung herangezogen wurde. Die ECD erfolgte galvanostatisch mit bis nach kurzer Vorbehandlung in schwefelsaurem Kupferelektrolyten (0,80 M Cu 2+ 2, 1,02 M H 2 SO 4 4, 1,45 mm Cl - ) mit optimierter Konzentrationn dreier kommerzieller organischer Zusätze (Rohm & Haas; Intervia Viafill ) bestehend aus Einebener (Leveler), Glanzträger (Suppressor) bzw. Glanzbildner (Akzelerator), wobei die erwünschte Verstärkung der Cu-Schicht im Kontaktloch auf ca. 5-7 μm mit hinreichender Konformität erreicht wurde (s. Bild). Schematischer Querschnitt eines MEA-Feldes REM-Aufnahmee nach DRIEE eines Kontaktloches mit 10 μm-kontakten (oben) und 40 μm-tsv- nach Prozessoptimierung zur Vermeidung des Kontaktierungen zur Rückseite Auskerbens bei Erreichen der 1,5 μm SiO 2 - Schematic cross section of MEA field with 10 μm Membran auf der Vorderseite. contacts (front side above) and 40 μm diameter REM after DRIEE of contact holes (40 μmm in dia- notching on the backside of the 1.5 μmm front TSV via holes to the backside meter). Process was optimized to minimal side SiO 2 -Membrane Ein weiterer Schwerpunkt war die Präparation der Multielektrodenarrayss (MEA) auf der Vorund drei- derseite: Öffnung der Membran, Ätzen der darunterliegenden TaN/ Ta-Schicht 85

88 stufige galvanische Abscheidung (Cu-Ni-Au). Das Ziel, die Füllung mit niedriger Rauhigkeit und schlüssig zur SiO 2 -Oberfläche zu erreichen, konnte trotz der hohen Anforderungen an die galvanischen Prozesse erreicht werden (s.bild). Results The fabrication of the sensor starts with a p-si wafer thinned to about 180 μm with 1.5 μm TOX on both sides. After photolithographic masking on back side a sequential wet/ dry chemical etch process opens the SiO 2 membrane. After stripping the first mask a second one is formed on the same side for etching the TSV holes with a diameter of about 40 μm. This so called Bosch-Process (see also at competences of professorship) is especially challenging to result in precisely vertical holes with as lowest roughness as possible and without any increase in diameter when reaching the SiO 2 membrane ( notching ; see fig.). In a second step the mouth of the hole is enlarged up to 50 μm, which is necessary to eliminate the unavoidable underetch and polymer residues at the same time. In addition, this enlargement is advantageous for the following layer deposition steps. The deposition of the electrical isolation layer of contacts, realized by a (PECVD)-TEOS (tetraethyl-orthosiloxane) process at 340 C, simultaneously diminishes the surface roughness below 100 nm (see fig.). After that, the adhesive-promoting TaN/Ta diffusion barrier layer and the Cu seed layer (is key for the following electrochemical deposition) are deposited by PVD magnetron sputtering. These steps should be done without breaking the vacuum. After masking the upper back side, electrochemical deposition is carried out to increase thickness of via contact layer and the contact lines on upper back side as well, followed by a PVD process to deposit a Ti/ Au cap. It follows the front side preparation. Firstly, the thermic oxid membrane is opened by RIE process followed by etching the underlying TaN/ Ta diffusion barrier in via openings. Then, the openings with a depth of 1.5 μm and a diameter of 10 μm are electrochemically filled by copper, nickel, and gold. The preparation is accomplished with back etching of adhesive,, seed and barrier layers on the back side. Up to now, the investigations have aimed to further parameter optimizing of the main process steps. The deep Si etch process on SiO 2 stop layer - being essential for creating the TSVs succeeded in getting low notching effects on first wafers (s. fig.). The following insulator deposition gained satisfying results for an aspect ratio (AR) between 5:1 and 10:1. Typical breakdown voltages of the insulator range from 9 up to 10 MV/cm indicating a isolation quality. Another main point of interest at any time is to optimize the deposition parameters of the PVD facilities for optimization the electrochemical deposition and increasing the TSV aspect ratio. ECD serves as a quality criterion (see fig.). The ECD process was conducted galvanostatically up to 1 A/dm 2 in sulphuric acid copper sulphate electrolytes (0.80 M Cu 2+, 1.02 M H 2 SO 4, 1.45 mm Cl - ) with optimized concentration of three commercial additives system (Rohm & Haas; Intervia Viafill ), which comprises a leveler, a brightener (i.e. accelerator), and a carrier (i. e. suppressor). The envisaged enhancement of Cu layer thickness in contact holes of 5 to 7 μm was reached having an acceptable conformity (see fig.). The last focus of interest is the preparation of the microelectrode array (MEA) on the front side, which consists of membrane opening, etching the underlying TaN/ Ta layer and filling with Cu, Ni, and Au by a three step electrochemical process. The aim was mainly achieved to gain a filling with relatively low roughness and close to the SiO 2 surface level (see fig.). 86

89 REM nach PECVD TEOS-SiO 2 (Testwafer), Schichtdicke 4004 nm (Kontakt-lochdurchmesser 10 μm, Tiefe 959 μm tief, Aufweitung 17 μm) REM after PECVD TEOS-SiO 2 (test wafer), layer thickness 400 nm (diameter of contactt hole 10 μm, depth 95 μm, widening 17 μm) Cu-Keimschicht im Bodenbereich eines Vias (Ø 14 8 μm, ca. 56 μm tief AR 4) nach optimierter Cu-PVD Cu seed layer at bottom of a via (Ø 14 8 μm, depth about 56 μm, AR 4) after optimized Cu- PVD Nachweis einer konformen, stromtragfähigen PVD-Cu-Keimschicht durch galvanische Ver- eines stärkung auf ca. c 0,8 μm - Bodenbereich Vias (280 μm tief; Ø 50 μm, AR ca. 5) ) Short time Cu deposition d byy ECD to 0.8 μm as a measure for a conform PVD Cu seed layer with appropriate ampacity bottom view off a via (depth 280 μm; Ø 50 μm, AR approx. 5) Beispiel für die galvanische Verstärkung der Cu- 3-5 Schicht auf 3-5 μmm im 250 μmm tiefen Kontaktloch mit einem AR von 4 bis 6 (Durchmesser am Boden 40 μm, an der Mündung 55 μm) Example of Cu thickness enhancement up to μm by ECD in contact holes with an AR off 4-6(diameter at bottom 40 μm, at top 55 μm; depth 250 μm) REM-Aufnahmee einer Mikroelektrode in einem in Wafermitte gelegenen MEA (Lochabstand 200 μm) nach optimierter Ni-ECD (vor abschließender 200 nm Au-Galvanik) REM-Aufnahmee of a microelectrode in a MEA (distance between two holes 200 μm) situated in wafer centree after optimized Ni-ECD (before final 200 nm Au A capping ECD) 87

90 Herstellung und Charakterisierung von Dünnschichtstrukturen auf der Basis von ZnO:X mit dem Ziel ihrer Anwendung in Solarzellen n und Licht emittierenden Elementen Fabrication and characterization of ZnO:X thin film structures forr application in solar cells and light emitting elements Projektleiter: Dr. C. Wenzel Mitarbeiter: H. Hiemann, Dr. B. Adolphi Förderer: Internationales Büro des BMF Laufzeit: Projektpartner: ILIT der Russischen Akademie der Wissenscha aften Shatura, FhG IWS Dresden Zielstellung Ziel des Vorhabens ist es, die bisherigee Zusammenarbeit mitt dem Institut für Laser und Informationstechnologien der Russischen n Akademiee der Wissenschaftenn zu fördern. Der Schwerpunkt am IHM ist die Entwicklung von ZnO-Abscheideprozessen, diee das Aufwachsen bestimmter Oberflächenstrukturen gestatten. Beim ILIT steht diee n- und p-dotierung im Vortröge) dergrund, um Diodenstrukturenn bzw. Quantenstrukturen (Quantendots, -drähte und herstellen zu können. Das Anwendungziel sind Solarzellen. Ergebnisse Auf russischer Seite wurden die Herstellung stabiler n- und p-zno-schichten mittels PLD, die Herstellung von Heterostrukturen auf ZnO-Basis und die Anwendung von Lasertechniken für die Oberflächenmodifizierung von Si (Texturierung) bzw. ZnO-Deckschichten untersucht. Die n-dotierung erfolgt zuverlässig mit Ga aus einem Ga 2 O 3 +ZnO-Mischtarget konntee ein minimaler spezifischer elektrischer Widerstand von 1, cmm erreicht werden. Die D p-dotierung ist deutlich schwieriger. Es wurden drei verschiedene Varianten getestet: Dotierung mit N, P und Ga+N. bzw. mit Al aus einem All 2 O 3 +ZnO-Mischtarget. Für ZnO: Ga Schichten Die Bereitstellung der Dotanden erfolgt ebenso über Mischtargets sowiee eine zusätzliche Atmosphäre aus N 2 O bzw. O 2. Mit diesen Dotierungen ist es gelungen, sowohl n-zno:ga/p- Darüber hinaus wurden Heterostrukturen auf der Basis von Mg x Zn 1-x O und Cd y Zn 1-y yo ent- ZnO:(Ga, N)-Homostrukturen als auch n-zno/p-gan-heterostrukturen (s. Bild) herzustellen. wickelt. Nach dem Funktionsnachweis der LED ss (s. Bild) und von UntersuchuU ungen zur Repro- duzierbarkeit werden Fragen zur Zuverlässigkeit und Langzeitstabilität gelöst werden. 88

91 Elektrolumineszenzz einer n-zno/p-gan- Heterostruktur (LED) Querschnitt durch eine ZnO-Heterostruktur auf Mg und Cd Basis Hinsichtlich des Einsatzes von Lasertechnologienn und von ZnO-TCO ss in der Photovoltaik wurden gemeinsame Untersuchungen zu Möglichkeiten der TexturierungT g von Si-Oberflächen und von ZnO-basierten Dünnschichten durchgeführt, um im EndergebniE is die Lichtabsorption effektiv verbessern zu können. Hierzu ist die Lasermodifizierung von Si-Oberflächen weiter entwickelt worden. Objective The focus of this research project is directed onto the intensification andd the extension of the collaboration between the IHM and thee Institute for Laser and Information Technology (ILIT) of the Russian Academy of Science in Shatura. The scheme illustrates the planed long term collaboration in this field. The main research of the IHM is directed too the development of ZnO deposition processes for f solar applications. The ILIT performs p thee n- and p-doping of ZnO to get light emitting diodes and quantum structures like quantum q dots, wires and wells. Results The Russian partner started with the fabrication of stable n- and a p-zno thin films using PLD. He is focussed on the composition of homo- and heterostruct ures and the application of laser irradiation to modify silicon surfaces and the microstructure of o TCO-ZnOO thin films. N-doping is performed with Ga and/or Al from targets consist of ZnOO and the related oxide of the doping element. A minimumm specific electrical resistance of 1, cm could be reached for a ZnO:Ga thin film material. P-doping is much more difficult. N-, P- and (Ga+N)-dopings were performed from blend targets t and film growing in a N 2 O or O 2 Atmosphere. On this base homo- and heterostructuress of n-zno:ga/p-zno:(ga,n)- and n-zno/p-gan-type could be built (see fig.). Beyond that heterostructures based on MgM x Zn 1-x O and Cd y Zn1-yO were developed. The cross section of suchh a thin film structure is shown in the figure above. Beyond the functional demonstration of the light emitting elements (s.fig.) the reliability and the long time stability of these structures will be investigated. Regarding the application of laser technologies and of TCO-ZnO thin films in photovoltaic common investigations weree executed.. To get a better light absorption laser irradiation can be used to modify the silicon surface or the microstructure off ZnO thin films. First results r are published. Publications ndreyy. Lotin, leg. Novodvorsky, Liubov S. Parshina, vgeny V. Khaydukov, Dmitry A. Zuev, Olga D. Khramova, and Vladislav Ya. Panchenko; Two-dimensional heterostructures based on ZnO; Applied Physics B 104, p. (2011). L.S. Parshina, O.A. Novodvorsky, V.Ya. Panchenko, O.D. Khramova, Ye.A. Cherebilo, A.A Lotin, C. Wenzel, N. Trumpaicka, J.W. Bartha; Photoluminescent properties of nitrogen and phosphorus doped ZnO thin films fabricated by pulsed laser deposition method; Laser Physics P 21, p (2011). 89

92 Entwicklung und Einsatz nanobeschichteter Cu- und Ni-Bonddrähte für die mikroelektronische Verbindungstechnik. Teilvorhaben: Weiterentwicklung der Beschichtungstechnologie (Labormaßstab) für neue Material-Geometrien und Kombinationen; Bewertung der Bondbarkeit und Zuverlässigkeit Projektleiter Prof. Dr. rer.nat. J.-W. Bartha / Dr. rer.nat. C. Wenzel Mitarbeiter Dr.-Ing. F. Rudolf (IAVT), Dipl.-Ing. C. Nobis (IHM), S.Völkel (IHM) Förderer BMBF Laufzeit: Projektpartner: Fa. F&K Delvotec Bondtechnik GmbH Ottobrunn, Fa. G&W Leiterplatten Dresden GmbH & Co. KG Dresden, Fa. Opto-Elektronische- Componenten & Applikations GmbH Berlin, Fa. ADOM GmbH Berlin, Fraunhofer-Institut für Werkstoffmechanik IWM Halle Zielstellung Schwerpunkte des Forschungsvorhabens sind die Charakterisierung der Beschichtungstechnologie für dünnste Bonddrähte und die Untersuchung der Herstellbarkeit und Zuverlässigkeit von Bondverbindungen mit diesen Drähten. Im Detail wird die Beschichtung von Nickeldrähten und deren Verwendung für SiC-Substrate sowie die Beschichtung sehr dünner (<20 μm) Kupferbonddrähte untersucht. In einem Vorgängerprojekt wurde bereits nachgewiesen, dass dünne Al- oder Ti-Beschichtungen dazu geeignet sind, das Bondverhalten (bei Au- und Cu-Bonddrähten) sowie die Lagerzeit von Cu-Drähten erheblich zu verbessern. Diese Drähte erzielen bei Raumtemperatur mittels US-Wedge/Wedge-Bonden zuverlässig Kontakte. Bei immer dünner werdenden Bonddrähten konnte aber auch nachgewiesen werden, dass bereits bei der Beschichtung erhebliche Prozesstemperaturen auftreten können, die das Draht-Schicht-Interface stören können und letztendlich die Verarbeitung derartiger Drähte behindern. Da typische Bonddrahtdicken heute bis etwa 17 μm betragen, soll das Beschichtungsverfahren auch für solche Drähte angepasst werden. Beim zweiten Projektziel, der Beschichtung von Nickeldrähten, sollen Hochtemperatur-Bauelemente auf SiC-Basis elektrisch angekoppelt werden. Derzeit werden diese Bauelemente mit Golddraht (TS-Ball/Wedge-Bonden) kontaktiert. Bei 500 C ist die mechanische Festigkeit der verwendeten Golddrähte jedoch nicht mehr gegeben. Ni-Draht würde in diesem Temperaturbereich eine größere Festigkeit aufweisen und gleichzeitig ein monometallisches Interface realisieren, da Nickel bei SiC-Bauelementen für ohmsche Kontakte zu den aktiven Gebieten eingesetzt wird Ergebnisse Mit Kupferbonddrähten wurde der Standardprozess zur Beschichtung dünner Drähte weiter verbessert. Dieses Drahtmaterial zeigt eine im Vergleich zu den anderen Materialien eine wesentlich stärkere Dehnung, was Probleme beim Drahtabriss verursacht. Für harte Kupferdrähte ist dieses Verhalten weniger ausgeprägt. Im Vergleich zu Al-Bonddrähten wird für den Bondvorgang ein leicht erhöhtes Bondgewicht, eine geringfügig größere US-Leistung sowie eine längere Kontaktierzeit benötigt. In Tests konnte auf verschiedenen Substraten nach den Bedingungen der DVS-2811-Richtlinie eine durchschnittliche Pullkraft von bis zu 90 % der Drahtreißlast sowie ein Sigma von 3-10 % realisiert werden (s. Bild). Um den Beschichtungsprozess auch auf dünnere Bonddrähte anwenden zu können, wurde das thermische Budget während der Beschichtung untersucht. Den Messungen zufolge wird dabei der größte Temperatureintrag durch geladene Teilchen aus dem Entladungsraum verursacht. Die Erhöhung des Arbeitsdruckes führt zu einer Budgetverkleinerung, insbesondere durch die Erhöhung der Konvektion. Damit lassen sich 25 μm-drähte so beschichten, dass sie ähnlich gute Bondergebnisse wie die dickeren Bonddrähte liefern. 90

93 Verteilung der Abreißkraft gemäß Merkblattt DVS 2811, Testbedingungen und Ergebnisse Neben dieser Optimierung wurden andere Möglichkeiten zur Reduzierung des Energiegeladene eintrags und zur Verbesserung der Abkühlung untersucht.. Zum einen können Teilchen durch eine Gitteranode abgehalten werden (s. Bild), zum anderen kannn auch ein alternierender Beschichtungsprozess zuu einer Temperaturverringerung genutzt werden. Gitteranode (elektrische Abschirmung) Schichtabhebungen (Al-Schicht auf Ni-Draht) Der Einsatz einer Gitteranode bringt für geringe zu beschichtende Drahtlängen eine Ver- wenig besserung. Da die Anode aber auch beschichtet wird, ist ihr industrieller Einsatz sinnvoll (Standzeit). In einem Vorversuch konnte bei Mehrfachbeschichtung eine erhebliche Verbesserung der Bondbarkeit eines 20 μm Au(Al)-Drah htes realisiert werden. Dabei wird der Draht jeweils nur mit einer geringen Energiemenge belastet und kann diese vor der nächsten Beschichtung z.t. auch wieder abgeben. Ebenfalls getestet wurden alternative Beschichtungsmaterialien, diee einen geringeren Interdiffusionskoeffizienten mit dem Drahtmaterial aufweisen. Hier konnte allerdings noch keine befriedigenden Ergebnisse erzieltt werden. Für die Ni-Drahtbeschichtung konnte eine Verbesserung der d Bondbarkeit nachgewiesen werden. Allerdings entsprechen die Ergebnisse beim Pulltest noch nicht der DVS Richtlinie. Anders als beim Cu Al System liegt die Ursache in einerr noch mangelhaften Schichthaftung (s. Bild). Zum Projekt existiert eine Webseite: Objective Focal Points of the project are the characterization of the coating technology off very thin (bond) wires and an extended feasibility study on coating Ni N wires and their use as bond 91

94 wires. Especially wire diameters of less than 20 μm for copper wires and bonding coated Ni wires on SiC substrates are subjects of interest. In an elapsed project it has been demonstrated that thin Al- and Ti-coatings are applicable to strongly improve the bonding behavior of Au- and Cu wires as well as the shelf lifetime of Cu wires. Applying the US-wedge/wedge bonding method at room temperature with those wires a very stable bond process can be realized resulting in reliable contacts. It could be demonstrated that wire temperatures during the coating process reach critical levels (accounts especially for thinner wires, 25 μm and below) altering the wire-coating-interface. Once diffusion altered most of layer it does not support bonding any longer. As typical bond wire diameters reached 17 μm the coating process has to be analyzed modifying it to achieve less thermal budget. The aim is to adapt it to coat 17 μm wires as well. With Ni wires electrical coupling of high-temperature SiC components should be realized. The standard process here is the TS-ball/wedge-bonding with gold wires. While the ICs itself are capable of being used at 500 C the connective wires lose most of their mechanical stability there. While Ni wires are firmer, monometallic contacts to SiCs can be realized as Ni is used as ohmic coupling to active areas on the IC. Results On the field of copper wires the standard coating process has been improved. As copper exhibits strong elongation problems with wire tearing can be observed while bonding. Less annealed wires does not show this behavior. While, compared to Al wires, slightly higher bond forces, times and US-energies are required, very stable bond processes are possible. On lab scale with semiautomatic bonders an average pull force of 90 % wire tearing load with standard deviation of 3-10 % could be realized (applying DVS 2811 policy, see fig.). To adapt the coating process to thinner bond wires the thermal budget of the process was analyzed. In accordance with measurements the biggest impact on the budget results from charged carriers from surrounding plasma. Increasing pressure leads to budget reduction mainly due to improved convection. That way it is possible to achieve adequate bonding results with coated 25 μm Au- and Cu wires. Besides referred method alternative ways to reduce the thermal budget or to improve cooling have been examined. Especially a gate anode (see fig.) to shield charged carriers and an alternating coating process have been discussed. While measurements reveal improvements using the gate electrode, its industrial application is not reasonable as that anode is coated as well causing a drop of coating rates. While examining a preliminary test of the split coating method a significant improvement of the bond process stability could be demonstrated for a 20 μm Au(Al)-wire. The wire roughly gets half of the energy budget (splitting the process into 2 steps). Between the coating steps the wire loses a part of that energy budget by dissipation and convection. An appropriate device has been constructed and will be tested. Other coating materials showing smaller diffusion coefficients with the wire have been tested as well. To that point no satisfactory result could be achieved following that approach. For coatings on Ni wires an improvement of the bond process could be demonstrated. Besides that it was not possible up to now to achieve a stable bond process according to the policy of DVS In contrast to the Cu-Al-interface the footage of that problem still is a lack of layer adhesion (Ni-Al, see picture 3) reducing the effect for the bond process. For further results see our website: (German). 92

95 Chip-Integration mit adaptiv gesteuerten Antennensystemen für drahtlose mm- Wellen-Kommunikation (CiagAs) - Teilvorhaben: Entwicklung von Montagetechnologien für Hochfrequenzbaugruppen auf Folienbasis Chip-integratiowave-communication - Project Part: Development of mountingg technologies for of adaptively controlled antenna systems for wireless mm- high frequency devices on foil materials Projektleiter Mitarbeiter Förderer Laufzeit: Projektpartner: Prof. Dr-Ing. habil. T. Zerna (ZμP) Dr.-Ing. F. Rudolf f (IAVT), Dipl.-Ing. C. Nobis N (IHM),, F. Schumann (ZμP) SAB Fa. CICOR Microelectronics - RHe Microsystems GmbH Radeberg Zielstellung Im Teilprojekt wird der Schwerpunkt auf Technologien gelegt,, die dazu geeignet sind, flexible mehrlagige Schaltungsträger (Flex) mit Gehäuseanschlüssen und Chips elektrisch zu ver- (mit binden. Im Projekt wurden u.a. die Kontaktierungstechnologien TS-Ball/Wedge-Bonden Au-Drähten, 20 m und das US-Wedge/Wedge-Bondverfahren (mit beschichteten Au- und Cu-Drähten, m ) untersucht. Ergebnisse Für den Bereich der beschichteten Drähte (anderee Verfahrenn der Aufbau- und Verbindungs- sich die technik wurden vom IAVT untersucht) konnten folgende Resultate erzieltt werden: - Unabhängig vom Bearbeitungszustand (unbehandelt, vorgereinigt, geklebt) ließen Flex-Substrate ausgezeichnet durchh Al-beschichtete Au-Drähte ( 25μm) kontaktieren (s. Bild). Hierfür wird das US-Wedge/Wedge-Bondverfahren bei Raumtemperatur eingesetzt. - Für Ti-beschichtete Au-Drähte und für Al- bzw. Ti-beschichtete Cu-Drähte ( 25μm) konn- zu Al- ten enge Prozessfenster für den Bondprozess gefunden werden. w Diee im Vergleich beschichteten Au-Drähten geringeree Stabilität wird nach derzeitigem d Kenntnisstand durch geringere mechanische Festigkeit der Flexmaterialien verursacht. - Für alle genannten Beschichtungen ist die Prozessführungg während der Beschichtung von Interesse. Besonders die Drahttemperatur, welche sich aufgrund dess Verfahrens (Magne- tron-sputtern mit Rohrtarget) einstellt, und die Schichtdicke sind kritische Verfahrens- Diffusion parameter für die späteree Verarbeitbarkeit der Bonddrähte. Thermisch bedingtee verursacht hierbei verschiedene Schichtqualitäten (s.bild). Verteilung der Abreißkraft gemäß Merkblattt DVS 2811, Testbedingungen und Ergebnisse - Für Au-Drähte ( 20 μm) wurde ein Beschichtungsverfahren entwickelt, dasss aufgrund mehrfacher Beschichtung von je nm die thermische Belastung B reduziert. - Es konnte gezeigt werden, dass für ein prozesssicheres US-Wedge/Wedge-Bonden bei Raumtemperatur zwingend eine unbeeinflusstee Haftschicht vorhanden sein muss. Diese darf nicht oxidiert sein. Kontaminatio on durch das Drahtmaterial ist ebenfalls störend. Für 93

96 den eigentlichen Bondprozess verantwortlich ist nach derzeitigem Kenntnisstand u.a. die Diffusionsneigungg von Al sowie die schnelle Absättigung freier Bindungen aufgrund des unedlen chemischen Charakters von Al.. Quelle: IWM-Halle Quelle: IWM-Halle FIB-Crosssection durch Draht/Beschichtung-Interface (links: optimale Prozessführung, rechts: thermisch verändertes Draht/Schicht-Interface, (4): Drahtmaterial, (3): Anbindungsschicht bzw. Diffusionsgebiet, (2) links: Al-Schicht (polykristallin), rechts: Al-reiche Phase, (1) Oxidschicht Objectivee The main focus of the project CiagAs is the examination of o assemblyy and connection technologies that can be used to connectt ICs and lead frames electrically y to flexible carrier materials (Flex). The Flex hereby is used as antenna carrier. TS T ball/wedge-bonding (Au wires, 20 μm) and US ball/ /wedge bonding processes (coated Au and Cu-wires, μm) have been examined. TS-Bonding hereby has been investigated by thee IAVT institute. Results In scientific examinations in the t area of coated wires (other assemblyy and connection technologies have been examined by the Institut für Aufbau- und Verbindungstechnik IAVT) following results have been achieved: - Pulltest examinations of Al-coated behavior and stable bonding processes, independent of substrate pretreatment (see fig.). The US-Wedge/Wedge-bonding method m at room temperature had been applied for all referred bonding examinations. - Ti-coated gold bond wires and Ti- and Al-coated Cu wires ( 25 μm) could be bonded according to commonly accepted test rules. The bonding behavior wass worse compared to Al-coated Au wires, resulting in a less stable process. Flex reduced mechanical hard- Au bond wires ( 25 μm) ) on Flex-Substrates resulted in very good bonding ness is assumed to worsen ultrasonic coupling into the bonding area - Process management of the coating process is important for the bonding behaviorr of the wires. This accounts for alll contemplated wire-coating-combinations. Especially the wire temperature during coating (a magnetron sputter process from a cylindrical target is applied) and the layer thickness are critical. Coatings resulting fromm different thermal t conditions during deposition are displayed in the fig. above. - A split process depositing 5-10 nm each run to coat thin Au A bond wires (Au) has been developed. That process was designedd to reducee thermal stress during deposition.. - Reviewing multiple examinations it was possible to prove a strong dependency between the presence of a pure coating layer of a certain thicknesss and the stability of the USwedge/wedge bond process. If theree was an uninfluencedd Al-layer of at least 10 nm thickness the bonding process was very stable. When the coating c wass contaminated by wire material or mainly consisted of oxide, the standard deviation of pulll forces rose or no bonding was possible at all. Present state of knowledge indicates that in case of Alatomic coatings the diffusion affinity of the species as well as the fast saturation of free bonds (Al is very ignoble) both supportt the bonding process. 94

97 Schöne Bilder aus unserer Forschungsarbeit 95

98 4.2. Forschungsprojekte an der Professur Mikrosystemtechnik Entwicklung einer UV-basierten Stamp-and-Repeat Nanoimprinttechnik zur Polymerdirektstrukturierung für optische Mikrosysteme Development of a Wafer-Scale Stamp-and-Repeat Nanoimprint Technique for Polymer Direct Patterning for Optical Microsystems Projektleiter: Prof. Dr. W.-J. Fischer Bearbeiter: Förderer: Dipl.-Ing. R. Kirchner FhG IPMS sowie DFG im Graduiertenkolleg Nano- und Biotechniken für das Packaging elektronischer Systeme (DFG 1401) Laufzeit: Projektpartner: FhG IPMS Zielstellung Nanoimprinting erlangt derzeit zunehmend Bedeutung für die Herstellung funktionaler Mikround Nanostrukturen aufgrund des einfachen Präge- und Abformvorganges. Nanoimprinting bietet sich dabei vor allem an, da sehr gut Auflösungen von sub-300 nm und gleichzeitig Aspektverhältnisse größer 5:1 erreichbar sind. Es können somit funktionale Strukturen wie optische Mikrolinsen, Lichtwellenleiter sowie Mikrofluidikkanäle, MEMS-Elemente oder weitere komplexe Strukturen hergestellt werden. Deshalb spricht man in diesem Zusammenhang auch von einer Polymer-Direktstrukturierung mittels Nanoimptinting. Ziel des Projektes ist die Entwicklung einer effizienten, UV-basierten Nanoimprinttechnik, welche durch wiederholendes Prägen mit einem kleinen Prägewerkzeug komplette Wafer strukturieren kann. Als Demonstrator wurden dabei ein photonische Mikroringresonatoren verwendet. Ergebnisse Aufgrund der einfachen Applikation der Prägematerialien und der schnellen Umstellung auf neue Prägematerialien wurde ein UV-basiertes Nanoimprintverfahren entwickelt, welches dünne, aufgeschleuderte Schichten monomerer Polymer-Precursoren verwendet. Während des Prägevorganges wird das Prägewerkzeug in diese Schicht hineingepresst. Dabei füllt das niedrigviskose Prägematerial die Kavitäten des Prägewerkzeuges und wird anschließend mittels UV-Licht polymerisiert. Nach dem Entformen des Werkzeuges bleibt das Negativ des Prägewerkzeuges als endgültige Anwendungsstruktur im Polymer erhalten (s. Bild). Aufgrund der Volumenerhaltung des Prägematerials, muss die initial aufgeschleuderte Schichtdicke genau an das Volumen der Kavitäten des Prägewerkzeuges angepasst werden. Durch eine solche Optimierung wurden vergleichsweise kurze Prozesszeiten und für Polymerstrukturen im Mikrometerbereich ausgezeichnet homogene Restschichtdicken unter 25±5 nm erreicht. Es war möglich komplette 6-Zoll Wafer mit einzelnen Imprintfeldern der Größe 12 x 12 mm² zu belegen. Die Mikroringresonatorstrukturen wurden erfolgreich in verschiedene Prägematerialien repliziert (s. Bilder) und die Funktion der polymeren Wellenleiter erfolgreich nachgewiesen. Zukünftige Arbeiten werden sich der Replikation neuer Strukturen (hohe Ätztiefe, verschiedene Ätzniveaus), der Untersuchung der Variation der Belegungsdichte des Stempels sowie deren Einfluss auf den Gesamtprozess widmen. Objective Nanoimprinting gains recently much attention as patterning technique for functional microand nanostructures due to its simple embossing and molding approach. Nanoimprinting is beneficial because it offers a resolution of sub-300 nm and aspect ratios of more than 5:1 at the same time. This enables the direct patterning of optical microlenses and waveguides as well as microfluidic channels, MEMS-devices or other complex structures. Nanoimprinting is thus a versatile polymer direct patterning method. The scope of this project is the develop- 96

99 ment of an efficient, UV-based stamp-and-repeat nanoimprinting technique. As a demon- imprint strator, a microring resonators was used. Results Due to the efficient application of the imprint material and the quick adaptation for new materials, an UV-based stamp-and-r repeat imprint technique for spin-coated films of momomeric polymer precursors was developed. During the patterning process, the mold is pressed into the low viscouss monomer film. The low viscous liquid fills all mold cavities and is polymerized by UV-exposure. After demolding, the negative of the imprint mold remains as final device structure (s. fig.). The volume conservation of the imprint material requires the initial spun-on film thickness to be adjusted to the volume of the mold cavities.. Such an optimization allowed relative short process cycles and a for microstructures very good residual layer thickness of less l than 25±5 nm. Complete 6 inch wafers were covered with multiple imprint fields being 12 x 12 mm² large. The waveguide and microring structures were successfully replicated in different imprint materials (see figs.) and thee waveguide function was proved. Ongoing and future work focuses on the replication of new structures (large( etch depth, multiple etch depths) ), the variation of the mold pattern density and their influence on the overall process. Typischer Ablauf eines Stamp-and-Repeat Nanoimprintprozesses zur Strukturierung Wafer kompletter Typische Abmessungen eines UV-basierter Imprint eines Lichtwellenleiters in einem kommerziellen organisches Prägematerial Typical dimensions of a UV-based imprint of an optical waveguide into a commercial imprint material Querschnitt des Koppelbereiches eines Mikroringresonators mit einem mittleren Koppelabstand von 360 nm in einem hybrid organisch-anorgansichem Prägematerial Cross section of the coupling region of a microring resonator with a mean coupling distance of 360 nm in a hybrid organic- inorganic polymer 97

100 Herstellung von organischen Kurzkanalfeldeffekttransistoren mittels UV Nanoimprint Lithografie Fabrication of Short Channel Organic Field Effect Transistor using Nanoimprint Lithography Projektleiter: Prof. Dr. W.-J. Fischer/ Dr.-Ing. M. Plötner Bearbeiter: Dipl.-Ing. Lichao Teng Förderer: DFG im Graduiertenkolleg "Nano- und Biotechniken für das Packaging elektronischer Systeme" (DFG 1401) Laufzeit: (1. Förderperiode) Zielstellung Gegenwärtig drängt verschiedenste organische Elektronik auf den Markt. Eine Triebkraft dafür sind die niedrigere Herstellungskosten. Als Steuerelemente für organische elektronischen Systeme werden ebenso organische Feldeffekttransistoren (ofets) angestrebt. Wegen der relativen niedrigen Ladungsträgerbeweglichkeit des Polymerhalbleiters muss der Kanal möglichst kurz bleiben, um trotzdem eine ausreichend hohe Schaltfrequenz zu ermöglichen. Dies verlangt eine Herstellungsmethode mit sehr gutem Auflösungsvermögen, die gleichzeitig den Forderungen nach einer sehr preiswerten Technologie genügen muss. Die Nanoimprint-Lithografie (NIL) erfüllt die beiden Anforderungen. Ziel dieses Projektes ist, Fertigungstechnologie für Kurzkanal-oFETs zu entwickeln bzw. auch die elektrischen Eigenschaften der ofets zu optimieren. Ergebnisse Bisherig wurden mittels NIL p-typ P3HT-OFETs mit einer Kanallänge bis 500 nm auf einem starren Glas/ITO Substrat hergestellt (s. Bild). Die S/D-Elektroden waren dabei aus aufgedampften Gold und der Gate-Isolator aus gesputtertem SiO 2. An den gemessenen Ausgangskennlinien ist einen deutlicher Kurzkanal-Effekt, insbesondere ein Verlust der Sättigung zu beobachten (s. Bild). Durch Einsatz dünnerer Gate-Oxidschichten (hier 20 nm) und den Einbau von SAM-Schichten zur Verbesserung der Ordnung der organischen Halbleitermoleküle können sowohl die Parameter der ofets als auch deren Sättigungsverhalten deutlich verbessert werden. Objective Nowadays there is a growing variety of opto-electronics made of organic semiconductor materials such as organic displays on flexible substrates (e-paper) or organic light emitting diodes (oleds). One driving force of this development might be the low fabrication costs. Organic field effect transistors (ofets), as the key element of complete electronic systems, should be of low costs but still allowing high performances. To speed up their switching frequencies besides rather low carrier mobilities in organic semiconductors short channel lengths are essential. UV based nanoimprint lithography (UV-NIL) is an accepted way for that with excellent resolution. It enables the fabrication of channels in submicron regime. Fabrication The silicon mold with source and drain patters was made using lithography and reactive ion etching (RIE). A commercial NIL tool was used. Glass substrates with indium-tin-oxide (ITO) were used as carrier and gate electrode. SiO 2 layer as gate oxide was sputtered. A specially developed UV-curable resist without cross links after irradiation was applied to accelerate the lift-off process. The residual layer was removed by O 2 -RIE. A Au film was deposited by electron beam evaporation. Then, lift-off was performed for patterning of the S/D contacts. These vehicles with channel lengths down to 500 nm (see fig.) were then immersed to SAMcontaining solution, dried, and spin coated with a poly-3-hexyl-thiophene (P3HT) solution. 98

101 Results and Conclusions The output characteristics off some OFETs (see fig.) yielded an obvious loss of saturation with downscaling channel lengths. This can be widely observed inn short channel OFETs. Both the growing part of the contact resistancee R c, the P3HT molecular arrangement and the ratio between channel length and oxide thickness (L/ d ox ) are contributing to this behaviour. To verify the individual influences experimentally, we tested 1 μmm and 2 μm channel OFETs with a self-assembling monolayerr (SAM) (see fig.) and with different oxide thicknesses (see fig.). Remarkable improvements of the saturation ability were observed. With optimization a nearly perfect long channel behavior by 1 μm ofet was obtained. It indicates that the short channel effect is not an intrinsic problem of ofets. A pure S/D contact, a SAM layer and a corresponding L/d ox ratio improve the saturation ability of short channel OFETs. -2,0E-06-1,5E-06-1,0E-06-5,0E-07 I ds (A) U gs (V) ,0E U ds (V) Nano-Imprinted OFET with 5000 nm Channel Output Characteristics of 1 μm Channel OFETs with 100 nm SiO2 S -1,2E-06 I ds (A) U gs s (V) -1,6E-05 I ds (A) U gs (V) -9,0E-07-6,0E ,2E-05-8,0E ,0E-07 0,0E U ds (V) ,0E-06 0,0E ,5-3 -4,5 U ds (V) Outputt characteristics of 1 μmm Channel OFETs with 100 nm SiO2 gate insulator and SAMM Output Characteristics of 1 μm Channel OFETs with 20 nm SiO2 99

102 Photonische Virusdiagnostik mittels Mikroring-Resonatoren Photonic Viral Diagnostics by Using Microring Resonators Projektleiter: Prof. Dr. W.-J. Fischer Bearbeiter: Dipl.-Ing. René Landgraf Förderer: BMBF Forschungsprämienprojekt Optische Biosensoren, Fraunhofer IPMS Laufzeit: Ziel Optische Sensoren und Systeme besitzen im Vergleich zu elektronischen Sensoren eine geringe Querempfindlichkeit gegenüber elektromagnetischer Strahlung und sind zudem direkt kompatibel mit optischen Glasfasernetzwerken. Optische Sensoren, die auf planaren optischen Wellenleitern basieren, zeigen zudem eine sehr hohe Sensitivität und Selektivität gegenüber zu detektierenden Biomolekülen, wenn sie mit einer entsprechenden biologischen Funktionalisierung versehen werden. Wellenleiter in Form von Mikroringresonatoren sind hierbei von besonderem wissenschaftlichem Interesse, da sie sehr geringe Abmessungen aufweisen (einige 10 μm) und gut integrierbar in Sensorarrays sind. Mikroringresonatoren aus Polymeren können zudem effektiv durch Nanoimprintlithographie hergestellt werden, wodurch eine kostengünstige Massenfertigung ermöglicht wird. Ziel dieses Projekts ist die Berechnung und Designoptimierung von polymeren Mikroringresonatoren sowie die Entwicklung und Erprobung eines optischen Biosensorsystems zur Erkennung biologischer Verbindungen. Das System soll ein hohes Potenzial zur Miniaturisierung besitzen mit dem Ziel der späteren Anwendung für das Point-of-Care- Testing, also der Detektion von Krankheiten am Ort des Auftretens bzw. der Behandlung. Ergebnisse Das Konzept des Sensorsystems auf Basis planaroptischer, polymerer Wellenleiter in Form von Mikroringresonatoren wurde bereits in Vorarbeiten entwickelt und teilweise umgesetzt. Das System sieht einen Sensorchip mit polymeren Mikroringresonatoren und einer funktionalisierten Oberfläche zur Anbindung von Rezeptoren vor. Ein mikrofluidisches System ermöglicht die Zuführung wässriger Lösungen zum Sensorchip und die Durchführung automatisierter Prozessabläufe. Für das Design der planaroptischen Wellenleiter und vor allem der Mikroringresonatoren wurden analytische Berechnungen und FDTD-/FEM-Simulationen durchgeführt, welche eine Optimierung der Geometrie sowie des Wellenleiter- und Substratmaterials ermöglichen. Erste erfolgreiche Testmessungen mit auf Acrylaten basierenden polymeren Wellenleitern wurden durchgeführt. Der Messaufbau wurde weiterentwickelt. Vibrationen und Drift des Messsignals bedingt durch Luftströmung und Messaufbau konnten deutlich reduziert werden. Aktuell werden optische Messungen der Sensorstrukturen sowie weitere Berechnungen zur Layoutoptimierung durchgeführt. Objective Compared to electronic sensors, optical sensors and systems have low cross sensitivities to electromagnetic radiation and are directly compatible with optical fiber networks. Optical sensors based on planar optical waveguides show a high sensitivity and selectivity against biomolecules, if the sensor surfaces have a corresponding biofunctionalization. Waveguides with shape of microring resonators are particularly scientifically interesting due to their small size (some 10 μm diameter) and their easy integration in sensor arrays. Microring resonators made of polymer materials can be fabricated by nanoimprint lithography, which allows a cost efficient mass manufacturing. The aim of this project is the calculation and design optimization of polymer microring resonators as well as the development and evaluation of an optical biosensor system for the detection of biological substances. The system should have a high potential for miniaturi- 100

103 zation with the aim of a later application for the detection of diseases at the point of treatment (Point-of-care-testing). Results The concept of a sensor system based on planar polymer waveguides in shape of microring resonators was developed and partially carried out in a previous work. The system suggests a sensor chip with microring resonators and a functionalized surface for the binding of receptors. A microfluidic system supplies aqueous solutions to the sensor chip and allows automated process sequences. Analytical calculations and FDTD-/FEM simulations were carried out for the design of the planar optical waveguides especially the microring resonator. This allows the optimization of the waveguide and microring resonator geometry as well as the choice of the polymer and substrate material. First successful test measurements were carried out with polymer waveguides based on acrylates. The measurement setup could be improved. Vibrations and drift of the measurement signal could be significantly reduced. Currently, optical measurements of the sensor structures as well as additional calculations for layout optimizations are carried out. detector a) b) c) I out antigen g (e. g. virus) bus waveguide I in E(x) I ring microring resonator evanescent field laser beam receptor with functional group functional optical waveguides substrate microring resonator waveguide width w [μm] Desired operation space between lower limit LL and upper limit UL waveguide refractive index n wg Messprinzip des Biosensors basierend auf Mikroringresonatoren mit (a) Kopplung des Evaneszenzfeldes im Kanal g zwischen geradem und Ringwellenleiter, (b) der kovalenten Anbindung von Rezeptoren sowie (c) Modendiagramm zur übersichtlichen Darstellung des möglichen Arbeitsbereiches eines polymeren Wellenleiters (grauer Bereich). Mit LL und UL sind die untere Grenze (lower limit) und die obere Grenze (upper limit) gekennzeichnet, außerhalb derer keine Wellenausbreitung möglich ist (unterhalb LL) bzw. auch unerwünschte höhere Moden sich ausbreiten können (oberhalb UL). 00 bezeichnet die Grundmode und 10 sowie 01 die nächst höheren Moden in x-richtung bzw. y-richtung des Wellenleiters. Die Breite w liegt dabei in x- Richtung und die Ausbreitungsrichtung ist in z-richtung. Weitere Parameter sind Wellenleiterhöhe h wg = 2 μm, thermisches SiO 2 als Substrat, Wellenlänge = 1550 nm und TE-Polarisation. Measuring principle of the biosensor based on microring resonators with (a) coupling of the evanescent field in the gap g, (b) the covalent binding of receptors and (c) Mode diagram for the overview of the operation area of a polymer waveguide (grey area). LL and UL designates the lower limit and upper limit, respectively. Below LL, there is no mode propagation possible, and above UL, undesired higher modes are excited. The fundamental mode is labeled with 00, the first higher modes in x-direction and y-direction with 10 and 01, respectively. The width w is in x-direction and the propagation in z-direction. Further parameters are waveguide height h wg = 2 μm, a standard thermal SiO 2 undercladding, wavelength = 1550 nm and TE-polarization. 101

104 InkJet-Druck von funktionellen Materialien für sensorische Anwendungen InkJet Printing of Functional Materials for Sensor Applications Projektleiter: Bearbeiter: Förderer: Laufzeit: Prof. Dr. W.-J. Fischer Dipl.-Ing. Susann Arndt DFG im Graduiertenkolleg Nano- und Biotechniken für das Packaging elektronischer Systeme (DFG 1401/1) (1. Förderperiode) Ziel Die Technologie des Inkjet-Drucks gewinnt aufgrund ihrer zahlreichen Vorteile wie Flexibilität, berührungsfreie Durchführung, Dosiergenauigkeit und geringe Kosten zunehmend an Interesse im Bereich der gedruckten Elektroniken. Die Anwendungsbereiche des Drucks funktioneller Materialien sind zudem sehr vielseitig. So zeigt unter anderen die Forschung im Feld der Gassensoren in den letzten Jahren eine steigende Notwendigkeit von Sensoren, die bei Raumtemperatur arbeiten und eine simple sowie kostengünstige Anwendung für die Detektion von toxischen Gasen, Düften oder Lebensmitteln bieten. Um die Kombination mit anderen Herstellungsverfahren zu vermeiden und die Vorteile dieser Druck-Technologie zu nutzen, sollen die Sensoren allein mittels dem Inkjet-Druck hergestellt werden. Zielstellung dieses Projektes ist die Entwicklung von Gassensoren, die eine kostengünstige Lösung für den Einmalgebrauch darstellen, und weitere verschiedene Sensoren auf Basis der Inkjet-Technologie. Mehrere Sensoren sollen anschließend über ein Array verknüpft und mittels Neuronalem Netz ausgewertet werden. Als mögliches Anwendungsbeispiel sei ein Grenzwertsensor für Gase genannt. Ergebnisse Es wurden erste Gassensoren gedruckt und in Versuchen auf Basis eines Chemoresistors mit verschiedenen Probegasen getestet. Eine Tinte aus Silberneodekanoat dient zum Druck der Elektroden in Form von Interdigitalstrukturen auf eine flexible Polyimid-Folie. In einem zweiten Schritt werden dünne Filme des leitenden Polymers Poly-3,4-Ethylendioxy-Thiophen), nach Zugabe von Polystyrensulfon-säure, (PEDOT:PSS) auf die Elektroden gedruckt. Die Schichtdicke der sensitiven Schicht wird über die Anzahl der gedruckten Lagen bestimmt. Als -konjugiertes Polymer besitzt es eine intrinsische elektrische Leitfähigkeit und die Fähigkeit, diese um bis zu 10 Größenordnungen zu verändern. Die Leitfähigkeit dieses Polymers ist in ihrem neutralen Zustand sehr gering, steigt aber stark an, wenn Analytmoleküle absorbiert werden. Nach Waschen der Sensoren mit Stickstoff für 30 min wird der elektrische Widerstand der gedruckten Filme während der Behandlung mit Gasen wie Stickstoffdioxid (NO 2 ), Ammoniak (NH 3 ) oder Schwefelwasserstoff (H 2 S) bei Raumtemperatur aufgezeichnet. Die entwickelten Sensoren auf Basis von PEDOT:PSS zeigen innerhalb der verwendeten Konzentrationsbereiche eine gute Sensitivität. Erste Versuche weitere leitende Polymere, wie z.b. Polyanilin (PANI) oder Polypyrrol (PPy), zu drucken zeigen eine Notwendigkeit der Optimierung der Tinten. Als Alternative zum Chemoresistors wurden erste Messungen mit Impedanzspektroskopie vorbereitet. Objective Due to numerous advantages like flexibility, contact-free processing, high precision of positioning and low costs the inkjet printing technology becoming increasingly interested in the field of printed electronics. Moreover, there are versatility applications of the printing of functional materials. Therefore, the research in the field of gas sensors has shown an increased need for room temperature, simple experimental and low-cost devices to detect e.g. toxic gases, vapours or foods. To avoid the combination with other production methods 102

105 and to take advantage of this printing technology, the sensors should be fabricated only by means of the inkjet printing. Goal of this project is the development of gas sensors, whichh are a costt effective solution for the single use, and various other sensors based on inkjet technology. Then several sensors should be linked to an array and analyzed by using a neural n network. As a potential application a threshold sensor for gasess may be mentioned. Results First gas sensorss were printed and ested in experiments based on a chemiresistor with various gases. By using inkjet printing, the metallo-org anic compound silver neodecanoate was used to print the interdigitated electrodes (IDA) on a flexible polyimide foil. In a second step thin films of the conductive polymer poly(3,4-ethylene dioxythiophene) doped with polystyrene sulfonated acid (PEDOT:PSS) were printed on thee electrodes. The layerr thickness of the sensitive layer can be controlled by the number of printing cycles. As a -conjugated d polymer, it has an intrinsic electricall conductivity and the ability to change this value v up too 10 dimensions. The conductivity of this polymer is very loww in its neutral state, but rises sharply when analyte molecules are absorbed. The sensors were washed for 30 min with N 2 before starting the measurement. The electrical resistancee of the printed films was monitored during exposure to nitrogen dioxide (NO 2 ), ammonium (NHH 3 ) or Hydrogen sulphide (H 2 S) at room temperature. By using PEDOT:PSS as sensitive film, the developed sensors weree found to be highly responsive within the used concentration regions. Initial tests of printing further conductive polymers, such as polyaniline (PANI) or polypyrrole (PPy), show s a need for optimization of the inks. As an alternativee to chemiresistive sensors, first measurements weree prepared by using impedance spectroscopy. Herstellungsprozess dess Gassensors Fabrication process of thee gas sensor Einfluss der Konzentration von NO 2 auff Sensor-antwort bei Raum-temperatur; +1 V angelegte Potential Effect of concentration of NOO 2 on analytical response at room temperature; + 1V potential applied to sensor. 103

106 Passive UHF- und Mikrowellentransponder mit Sensoren (CoolSENS), Teilprojekt Feuchtesensor Passive UHF- and Microwave-Transponders with Sensors (CoolSENS), Subproject Humidity Sensor Teilprojektleiter: Prof. W.-J. Fischer Bearbeiter: Dr.-Ing. E. Starke, Dr. rer. nat. A. Türke Förderer: BMBF (Projektträger VDI-VDE-IT) Laufzeit: Projektpartner: KSW Microtec GmbH Dresden, RHe Microsystems GmbH Radeberg, Arxes GmbH Dresden, Fraunhofer-Institut für Photonische Mikrosysteme Dresden Zielstellung Zielstellung dieses Projektes sind Forschungsarbeiten zur Entwicklung eines passiven Transpondersystems, mit extern anschließbaren kapazitiven Sensoren. Das Gesamtsystem besteht dabei aus den Komponenten Transponderschaltkreis, Reader, kapazitiver Feuchtesensor und Visualisierungs- und Datenbanksoftware. Im Projektteil der TU Dresden wird der kapazitive Feuchtesensor entwickelt. Dieser muss für den Einsatz in elektronischen Labeln dünn und flexibel sein. Weiterhin muss er sich im Zusammenspiel mit dem Auswerteschaltkreis durch einen sehr geringen Energieverbrauch auszeichnen. Ergebnisse Im Jahr 2011 konnte durch Optimierung der Inkjet-Druckparameter der Interdigitalelektroden eine deutliche Verringerung der Leitbahnbreiten und Leitbahnabstände erreicht werden. Eine reproduzierbare Fertigung gelingt mit mittleren Leitbahnbreiten von 150 μm und mittleren Leitbahnabständen von 90 μm sowohl auf PET als auch PI-Substrat. Bei gleicher Grundkapazität von 6 pf des Sensors wurde dadurch die benötigte Sensorfläche auf 0,9 cm² halbiert. Systematische Untersuchungen zur Verbesserung der feuchtesensitiven Schicht führten zu einem geeigneten Polymerfilm, der eine gute Herstellung mittels Tintenstrahldruck mit einer hohen Feuchtesensitivität und geringen Hysterese vereint. Die Nichtlinearität der Kennlinie des Sensors wird beim Auslesen der Daten im Reader korrigiert. Objective Goal of this project is the development of a passive transponder system with capacitive sensors. The total system consists of a transponder circuit, a reader, a capacitive humidity sensor, and a visualization- and data base software. The subproject at the TU Dresden deals with the development of the capacitive humidity sensor. For the application in a smart label the humidity sensor must be thin, flexible and cheap. Furthermore, the sensor design must ensure very low energy consumption during the measurement. Results In the year 2011 the optimization of the inkjet print parameters led to a reduction in line width and gap size of the interdigital electrodes. A reproduceable sensor manufacturing was obtained with a mean line width of 90 μm and mean gap size of 150 μm both on PET and PI substrate. Therefore with same basic capacity of 6 pf the sensor area could be halved to 0.9 cm². Systematic investigations for the improvement of the humidity dependent layer led to a suitable polymer film, which unites good processability with inkjet printing with high humidity 104

107 Gedruckte AgNeo Elektroden auf PI-Substrat Printed AgNeo electrodes on PI substrate Kapazität / pf gemessene Kapazität ausgegebener Wert linearisierter Ausgabewert / % Flexibler gedruckter Feuchtesensor auf PET-Substrat Flexiblee printed humidity sensor on PET substrate sensitivity and a small hysteresis. In thee electronic label the nonlinearity of the capacity- humidity-curve will be corrected in the RFID reader relative Feuchte / % Kapazität in Abhängigkeit der relativen Feuchte ( blau) und linearisierter Ausgabewert (rot)) Capacitance vs. relative humidity (blue) and linearized curve (red) 105

108 Integrierte drahtlose Sensornetzwerke Integrated wireless sensor networks Teilprojektleiter: Prof. G. Pfeifer (IHM), Prof. W.-J. Fischer (IPMS) Bearbeiter: Dipl.-Ing. A. Kunadt, Dr.-Ing. E. Starke Förderer: DFG im Sonderforschungsbereich Textilverstärkte Verbundkomponenten für funktionsintegrierende Mischbauweisen bei komplexen Leichtbauanwendungen Laufzeit: Projektpartner: Institut für Festkörpermechanik, Institut für Leichtbau und Kunststofftechnik, Institut für Textilmaschinen und Textile Hochleistungswerkstofftechnik, Institut für Werkzeugmaschinen und Steuerungstechnik, Institut für Oberflächen- und Fertigungstechnik, Fraunhofer-Institut für Photonische Mikrosysteme, Fraunhofer-Institut für Werkstoff- und Strahltechnik, Leibniz- Institut für Polymerforschung Dresden Zielstellung Im Rahmen des Teilprojekts wird in Zusammenarbeit mit dem FhG-IPMS an der Entwicklung und Umsetzung eines Konzepts für ein Sensornetzwerk zur Integration in textilverstärkte Verbundbauteile auf Basis von Glasfaser-Polypropylen-Hybridgarn gearbeitet. Ziel ist es, elektronische Systeme aus Sensoren und Schaltkreisen für die Verarbeitung, Speicherung und Übertragung der Sensorsignale direkt in Verbundbauteile einzubringen. Diese sollen in Form flacher Netzwerke so integriert werden, dass das Netzwerk Bestandteil des konsolidierten Verbundes wird. Die Schwerpunkte in der im Jahr 2008 begonnenen zweiten Projektphase sind die Erweiterung der eingebetteten Sensornetzwerke um weitere Sensoren, die Weiterentwicklung der Schaltkreise, die Integration optischer Datenübertragung und die Erarbeitung von Konzepten zur reproduzierbaren Fertigung von Sensornetzwerken, die in Verbundbauteilen integriert sind. Ergebnisse Im Abschlussjahr der zweiten Projektphase wurde in Zusammenarbeit mit allen Teilprojekten des Sonderforschungsbereichs ein Gesamtdemonstrator in Form eines funktionsintegrativen Fahrzeugdemonstrators (FiF) aufgebaut (Bild). In dem Demonstrator wurden die Forschungsergebnisse, Entwicklungen, Technologien und Erfahrungen der ersten und zweiten Förderperiode zusammengeführt. Dabei entstand ein Hallenfahrzeug mit Ladefläche und einer Sitzfläche für zwei Personen. Der Antrieb des Fahrzeuges erfolgt mit einem Elektromotor und ist über eine Bluetooth-Schnittstelle mit einem Smartphone o.ä. fernsteuerbar. Zur Überwachung der Beanspruchung der Ladefläche wurden textil gefertigte Dehnungssensoren aus Kohlenstoffgarn in jede der vier Blattfedern integriert. Mit einem ebenfalls in das Verbundmaterial des Demonstrators integrierten Sensornetzwerk werden die Signale dieser Dehnungssensoren während des Beladevorgangs kontinuierlich erfasst und auf Überschreitung eines definierten Grenzwerts hin überprüft. Eine Grenzwertüberschreitung wird durch je eine integrierte optische Anzeige pro Blattfeder angezeigt. Die erfassten Dehnungswerte können zudem über eine optische Schnittstelle drahtlos ausgelesen werden. Weiterhin wurden optische Anzeigen als Rücklicht integriert, die u.a. helligkeitsgesteuert eingeschaltet werden können. Die Palette integrierbarer Sensorik wurde im Berichtsjahr zudem um Magnetfeldsensorik erweitert. Damit sind Funktionen wie Lageerkennung und Abstandsmessung mit integrierten Sensornetzwerken möglich. Objective The scope of this subproject is the development and implementation of a sensor network for integration into textile-reinforced composites made of glass fiber and polypropylene. The 106

109 electronic systems consisting of sensors and electronic circuits for processing, storing and transmitting the sensor signals are integrated in the form of o flat networks directly into the composite. The key aspects of the second project phase that started in are thee extensionn of the in- cir- tegrated sensor networks with additional sensors, further enhancement of the integrated cuits, integration of optical data transmission and formulation of concepts for reproducible assembly of sensor networks integratedd in composites. Results In the final year of the second project phase in collaboration with alll sub projects of the collaborative research center an automotive demonstrator was assembled (s. fig.) ). With the demonstrator the research results, r developments, technologies and experiences of the first and second project phasess were brought together. As a result, an indoor vehicle was assembled with loading areaa and seating area for two persons. The demonstrator is driven by an electric motor which is remotely controllable with a Smartphone or similar via a Bluetooth interface. For the load monitoring of the loadingg area textile manufactured strain sensorss made of carbon yarn weree integrated in all four leaf springs. A sensorr network, which is integrated in the composite material as well, monitors the strain sensors signals continuously during loading and checks whetherr a defined limit value is exceeded. Exceedance of the limit value is shown with an integrated optical display for each leaf spring individually. Furthermore, the measured strainss can be wirelessly transmitted via an integrated optical interface. In addition, integrated optical displays serve as rear lights, which w can be switched amongst others by an integrated brightness sensor. In the reporting year the line-up of sensors capable of being integrated was extended by magnetic sensor. This enables functions like position sensing and distance sensing with integrated sensor networks. Funktionsintegrativer Fahrzeugdemonstrator (FiF) des Sonderforsch hungsbereiches 639, u.a. mit integrierter Beanspruchungsüberwachung, integrierterr optischer Datenübertragung und integrierter Rücklichter Automotive demonstrator of the Collaborative Research Center 639 with integrated functions, amongst others integrated load monitoring, integrated optical data transmission and integrated rear lights l 107

110 INHUEPRO: Intelligente Hüftprothese Entwicklung eines Systems zur Überwachung der knöchernen Integration bei Hüftprothesen INHUEPRO: Intelligent Hip Implant Development of a bone integration monitoring system for hip prostheses Projektleiter: Prof. Dr. W.-J. Fischer / Dr.-Ing. U. Marschner Bearbeiter: Dipl.-Ing. Sebastian Sauer Förderer: BMBF (Projektträger VDI VDE IT) Laufzeit: Projektpartner: Aesculap AG, MPD, Ruwisch & Kollegen, AST GmbH, CeramTec AG, Fraunhofer Institut IPMS, Ruhr-Universität Bochum Zielstellung Bei der Implantation von Gelenkprothesen handelt es sich um einen schwerwiegenden medizinischen Eingriff. Bisherige Verfahren zum Nachweis des Einwachsens oder zur Detektion einer Lockerung wie Röntgen oder Szintigrafie haben sich in Sensitivität und Spezifität als unzureichend erwiesen und können zu falscher Operationsindikation führen. So müssen gegenwärtig etwa 10% aller durchgeführten Hüft-Revisionsoperationen als unnötig eingeschätzt werden. Die Entwicklung zusätzlicher diagnostischer Mittel wird daher angestrebt. Um die Festigkeit des Prothesen-Knochen-Verbundes nach der Operation regelmäßig zu prüfen, soll ein Mikrosystem zur Beschleunigungsmessung inkl. Signalverarbeitung in die Prothese integriert werden und somit eine Schwingungsanalyse ermöglichen. Die notwendige Messdatenübertragung und Energieversorgung erfolgt auf drahtlosem Weg. Durch die Kenntnis von Eingangs- und Ausgangssignal lassen sich Amplituden- und Phasengang des Systems gewinnen. Über Charakteristika wie Eigenresonanzstellen und wiederkehrende Messungen kann auf den Lockerungszustand des Implantats geschlossen werden. Im Rahmen des Verbundprojektes besteht die Aufgabe der TU-Dresden in der Konzeption und Erprobung von Verfahren zur Schwingungsanregung der Prothese im Körper. Zur Abschätzung der Leistungsfähigkeit des Messkonzepts sind theoretische und experimentelle Betrachtungen am Gesamtsystem und an ausgewählten Teilsystemen durchzuführen, um so eine spätere klinische Anwendung vorzubereiten. Ergebnisse Es wurden verschiedene Verfahren zur Schwingungsanregung untersucht: eine mechanische Anregung mittels Shaker, eine akustische Anregung in Luft mittels Lautsprecher und eine ultraschallbasierte Anregung im Wasserbad mittels Ultraschallwandler. Die mechanische Anregung wird bevorzugt. In Kooperation mit der Ruhr-Universität Bochum wurden verschiedene Experimentieraufbauten zur Charakterisierung gefertigt. Zur Verfügung stehen u.a. eine Prothese im Betonfundament, Prothesen integriert in künstliche Oberschenkelknochen (Sawbone) mit unterschiedlichem Lockerungszustand und ein Prothesensystem mit reproduzierbar einstellbarem Verankerungszustand. Ein mechanisches FE-Modell zur Beschreibung des Knochen-Prothesenverbundes wurde erstellt und verifiziert. Insbesondere können Aussagen zu den Auswirkungen mechanischer Einflussfaktoren (wie z.b. einer Lockerung) auf Resonanzfrequenzen getroffen werden. Im weiteren Verlauf erfolgen die Weiterentwicklung der Anregungshardware, die quantitative Untersuchung mechanischer Querempfindlichkeiten und eine Bewertung der Diagnosemöglichkeiten des nichtlinearen Schwingungsverhaltens. Objective The implantation of artificial joints is a severe surgical process. Current techniques existing in clinical routine and being capable of monitoring the incorporation process or detecting a possible loosening such as radiography or scintigraphy are not advanced enough in terms of specificity and selectivity. This is a possible reason that 10% of all hip revision surgeries are considered as unnecessary. The goal is therefore to extend the diagnostic range by intro- 108

111 ducing a new measurement system. In order to regularly monitor m post-surgical stability an acceleration measuring micro system is integrated into the prosthesis allowing vibration analysis of the bone prosthesis compound. For this purpose measurement transmission and energy supply are carried out wirelessly. Once input and output signal are known it is possible to obtain amplitude and phasee information. If certain characteristics e.g. resonances are periodically evaluated the t loosening condition can be estimated.. The physician has therefore the possibility to monitor the assembly process for a long period of time making it possible to detect intricacies and take effective measures. Tasks at IHMM include developing concepts in order to mechanically excite the bone-prosth hesis system, the experimental evaluation of this approaches and contributions to the development of an excitation demonstrator. In addition measurement concepts and data visualization strategies are developed in order to prepare a later clinical use. Results Several prosthesis excitation conceptss have been developed and examined. These are a mechanical excitation using a shaker, an acoustic excitation in air using a speaker as well as an ultrasound based excitation in water using an ultrasound transducer. The mechanic excitation is favored. In cooperation with the University of o Bochum,, several prostheses integrated in artificial bones and in concrete have been prepared. A mechanical FE model consisting of bone and prostheses has been created andd verified predicting resonance r frequencies. Work in progress focuses on mechanical cross sensitivitiess s of the measurement principle and diagnosis possibilities of nonlinear prosthesis vibration behavior. Systemkonzept des INHUEPRO Messsystems Concept of INHUEPRO measurement system Prothesen-Lockerung im Frequenzspektrum Prosthesis loosening in the frequency spectrum Komponenten eines FE Modells von Prothese und Knochen Components of a combined FE model Vergleich d. Eigenresonanzen aus Experiment und Simulationn Comparison of model m resonances and experiment 109

112 Implantierbarer Schallsensor-Schallgeber-Wandlerbaustein im Mittelohr für die apparative Hörrehabilitation Implantable Sensor-Actor-Transducer-Module in the Middle Ear for the Instrumental Hearing Rehabilitation Teilprojektleiter: Prof. W.-J. Fischer, Prof. G. Pfeifer Mitarbeiter: Dipl.-Ing. M. Krause, Dr.-Ing. E. Starke Förderer: BMBF Laufzeit: Projektpartner: Universitätsklinikum Dresden Klinik und Poliklinik für Hals- Nasen- und Ohrenheilkunde, TU Dresden Professur für Systemtheorie und Sprachtechnologie Zielstellung Zur Behandlung von Schwerhörigkeiten werden neben herkömmlichen Hörgeräten passive oder aktive Implantate eingesetzt. Zu den aktiven Komponenten gehören in zunehmendem Maße implantierbare Sensor-Aktor-Systeme, die Schallaufnahme und die verstärkte Schallwiedergabe in einem Wandlerbaustein vereinen. Ziel des Gesamtprojektes ist es, einen derartigen Wandlerbaustein zu entwickeln, der operativ einfach zu verwenden ist, minimal traumatisch in die bestehende Anatomie integriert werden kann und eine hohe Funktionssicherheit besitzt. Als geeigneter Einsatzort ist die Gehörknöchelchenkette im Mittelohr vorgesehen. Der Wandler soll im Gelenkspalt zwischen Amboss und Steigbügel ohne zusätzliche Fixierung positioniert und somit in die natürliche Kette integriert werden (siehe Bild). In diesem Teilprojekt besteht die Herausforderung in der Entwicklung eines Wandlerbaustein, welcher trotz fehlender Fixierung eine Auslenkungsverstärkung ermöglicht. Ergebnisse Um eine hohe Verstärkung am Steigbügel bei gleichzeitig stabilem Schwingungsverhalten zu gewährleisten, muss eine weitere aktive Kompensationseinheit in den Wandlerbaustein eingebracht werden. Aufgabe der Kompensationseinheit ist es, durch die aktive Reduzierung der vom Aktor verursachten Gehäusebewegung bzw. der ins Gehäuse eingeleiteten Kraft, ein Aufschwingen der Wandler zu verhindern. In einem strukturierten Entwurfsprozess wurde unter Nutzung von Netzwerk- sowie Finite-Elemente-Methoden eine Kompensationseinheit entworfen, die zur Rückkopplungs-unterdrückung besonders geeignet erscheint (siehe Bild). Zur Analyse des dynamischen Verhaltens wurden Simulationen mit Hilfe eines Finite-Elemente-Modells durchgeführt, welches neben dem Wandlerbaustein auch die angrenzenden Mittelohrimpedanzen berücksichtigt. Die Simulationen hatten zum Ergebnis, dass bei inaktiver Kompensationseinheit akustische Verstärkungen von bis zu 18 db im Sprachfrequenzbereich erreicht werden (siehe Abbildung). Mit einem 10:1 Modellaufbau konnten diese Verstärkungen bereits bestätigt werden. Mit aktiver Kompensationseinheit lässt sich die Verstärkung noch auf bis zu 28 db erhöhen. Objective Among conventional hearing aids passive or active implants for the treatment of hearing diseases exist. Active components are more and more full implantable sensor-actuatordevices which combine the recording and amplified replay of the sound in one component. Task of the project is the development of such a component which is easy to handle for the surgeon, can be integrated minimal traumatically in the existing environment and has a high functional reliability. An appropiate location is the ossicular chain in the middle ear. The transducer shall be clamped between the incus and the stapes without any additional fixation. So it ll be integrated in the native chain. In this part of the project the challenge is the development of a miniaturized sensor-actuator-transducer-module which in spite of absent fixation allows an amplifying of the movement. 110

113 Results To allow a high gain at the stapes and a stable vibration behavior b att once, an additional active compensation unit has to be placed inside the transducer-module. Task of the compensation unit is to avoid unstable vibrations of thee transducers by reducing the vibrations of the housing caused by the actuator. In a structured design process, where network methods and finite element methods has been used, a compensation unit was found which is able to suppress the feedback.. With the help of a finite element model which includes the transducer module and the surrounding middle ear impedances simulations to analyse the dynamicc behavior have been done. One result of the simulations is an maximal acoustical gain of 188 db in the frequency range of speech for an inactive compensation unit. This gainn has been already approved by an 10: :1 model. With active compensatio on unit the gain can bee increased up to 28 db. akustische Verstärkung in db Schematische Darstellung des Mittel- und Akustische Verstärkung dess Wandlerbausteins Innenohres mit Position des Wandlerbausteins bei inaktiver und u aktiver Kompensationseinheit Schematic view of the middle and inner ear with Acoustical gainn of the transducer-module with the position of the transducer-module inactive and active a compensation unit Kompensationseinheit inaktiv Kompensationseinheit aktiv f in Hz komplexe Verstärkung der Sensorspannung komplexe Anregung der KE, basierend auf der Auslenkung amm Gehäuse Schematischer Querschnitt des rotationssymmetrischen Wandlerbausteins mitt Netzwerkdarstellungen der angreifenden Mittelohrimpedanzen Schematic cross sectional view of the rotation symmetric transducer-module with network descriptions of the applied middle ear impedances 111

114 4.3 Forschungsprojekte an der Professur Polymere Mikrosyste eme Optoelektronische Ansteuerung für hochintegrierte MEMS auf Polymerbasis Optoelectrothermic controll of highlyy integrated MEMS based b on polymers Projektleiter: Mitarbeiter: Finanzierung: Laufzeit: Dr. A. Richter Dipl.-Ing. G. Paschew DFG Beschreibung / Ergebnisse Vorhabensziel ist die Entwicklung derr konstruktiv-technologischen Grundlagen einer optoelektro- bzw. elektrothermischen Ansteuerung für hochintegrierte mikrotechnische Schal- tungen auf Hydrogelbasis. Die Arbeiten umfassen materialsynthetische und konstruktiv- technologische Aspekte sowie die physikalisch-empirische ModellierunM g des Ansteuer- Einflussgrößen des Ansteuerprinzips untersucht und dessen Leistungsgrenzen abgeschätzt. Die taktilen Darstellungsmöglichkeiten eines hydrogelbasierten Displayss sind an einem prinzips. Am Beispiel eines hochaufgelö östen taktilen Displays werden die technischen Funktionsmuster zu untersuchen. Objectivee / Results The aim is to obtain the fundamentals for an optical and electrothermicc control of highly integrated circuits based on hydrogel. Thee task includes as well material synthesis as phydisplay sical empirical modelling of the control. Using the example of a high h resolution tactile the influencing variables are investigated to estimatee the performance of the principle. The possibilities of tactile visualisation will be investigated on a demonstrator. Temperaturprofil zur Ansteuerung der Hydrogelaktoren des hochaufgelösten taktilenn Displays. 112

115 Hochintegrierte Mikrofluidik-Prozessoren auf Basis intrinsisch aktiver Polymere High integrated microfluidic processors based on intrinsic active polymers Projektleiter: Prof. A. Richter Bearbeiter: Dipl.-Ing. Merle Allerdißen, Dipl.-Ing. René Körbitz Förderer: DFG Laufzeit: bis (1. Förderperiode) Zielstellungen Mikrofluidik Systeme werden in den Bereichen Medizin, Biotechnologie und Materialsynthese in Zukunft eine entscheidende Rolle spielen. Besonders die Möglichkeit der Automatisierung und Parallelisierung von bisher manuell und seriell ausgeführten Prozessen weckt enormes Interesse an diesen Systemen. Das Projekt untergliedert sich in die Untersuchung von zwei Arten mikrofluidischer Prozessoren auf Basis intrinsisch aktiver Polymere: Anhand von Mikroprozessoren mit festen Kanalstrukturen werden die Grundzüge sowie Grenzen dieser Technologie erforscht. Nach der Analyse elementarer Prozesse aus den Bereichen Medizin, Biologie und Chemie werden anschließend Funktionseinheiten zur Realisierung dieser Abläufe erarbeitet. Ziel ist die Verknüpfung der Schaltungen zu komplexen Prozessorstrukturen, die komplette Verfahrensabläufe abarbeiten können. Der zweite Teil des Projekts befasst sich mit frei programmier- und rekonfigurierbaren Mikrofluidik-Prozessoren. Beginnend mit der Untersuchung optimaler Gestaltungsmöglichkeiten solcher Plattformen, werden anschließend die maximalen Betriebsparameter der realisierbaren aktiven und passiven Elemente analysiert. Neben der Umsetzung der Hardware bildet die Entwicklung einer Programmieroberfläche zur Steuerung der Prozessorfelder einen weiteren Projektschwerpunkt. Objectives In the future microfluidic systems will revolutionize fields of medicine, biotechnology and material- synthesis. The fact that they can automate and parallelize processes that have been formerly manual and serial tasks, causes an enormous interest on these systems. This project deals with the examination of two kinds of microfluidic processors based on intrinsic active polymers: The basics and limitations of this technology will be explored on microprocessors with fixed channels. After analyzing basic medical, biological and chemical processes, these different basic tasks are assigned to functional units. Due to the combination of these units, extensive processor structures can be realized, which are able to execute complete procedures. The second part of the project deals with free programmable microfluidic-processors which can be dynamically reconfigured. The examination how these systems can be designed and the investigation of limitations for generating channel structures conclude the basic research. Afterwards the operating parameters of these passive structures and of implementable active elements are analyzed. The controlling and programming features for the hardware components are realized by a software which also has to be developed in a last step. 113

116 Verbundprojekt Composit-basierte neue dielektrische Elastomer-Aktoren (CANDELA) - Teilvorhaben Synthese, Funktionalisierung und Herstellung dielektrischer Elastomer-Mikroaktoren Joint project Composite based novel dielectric elastomer actuators (CANDELA) - Subproject Synthesis, functionalisation and fabrication of dielectric elastomer micro-actuators Projektleiter: Prof. Andreas Richter Bearbeiter: Dr. René Luther Finanzierung: BMBF, 13N10660 Laufzeit: Zielstellung Ziel ist die Erforschung einer neuen Aktorplattform (u.a. für die Robotik und Mikroaktorik) auf Basis monolithischer dielektrischer Elastomeraktoren (DEA). Die neuartigen Aktoren sollen die Limitierungen bisheriger DEAs, vor allem (a) niedrige Lebensdauer, (b) für elektrische Steuerungen unverhältnismäßig hohe Betriebsspannungen sowie (c) die sehr schwierige Systemintegration (erhebliche Materialinkompatibilitäten von Elektroden und Dielektrikum) umgehen. Ergebnisse Für die Materialbasis wurden zunächst geeignete (di-)elektrische Füllstoffe und Silikon- Matrixelastomere nach definierten Kriterien ausgewählt. Hauptaugenmerk bei letztgenanntem lag vor allem auf der Prozessierbarkeit sowie der gezielten Beeinflussung und Reproduzierbarkeit von mechanischen Kennwerten. Ausgewählte Verbindungsstrategien ermöglichten den kontrollierten Aufbau monolithischer Mehrschicht-Elastomerverbünde, welche sich durch eine exzellente Materialverträglichkeit und eine außerordentliche hohe Stabilität (>2 Mio. Belastungszyklen) auszeichneten. Darauf aufbauend wurden zuerst DEAs mit einem Fünfschichtaufbau realisiert, bei welchen das Elektrodenmaterial mittels Sprühtechnik auf eine definierte, dünne Dielektrikumsfolie aufgetragen und anschließend mit der Elastomermatrix versiegelt wurde. In der zweiten Projekthälfte konnten zudem vollpolymere Dreischicht-DEAs entwickelt werden, bei welchem sowohl Dielektrikum als auch Elektrode aus der gleichen Elastomermatrix aufgebaut waren. Dazu wurden die entsprechenden Komposite unter Anwendung verschiedener Dispergiertechniken mit variablem Anteil an leitfähigen bzw. dielektrischen Füllstoffen gefertigt und bezüglich ihrer elektrischen und mechanischen Eigenschaften eingehend charakterisiert. Die aktorischen Eigenschaften der DEAs wurden mit Hilfe eines eigens konstruierten, hochpräzisen Feinmess-Versuchstandes untersucht. Dabei zeigten baugleiche Aktoren einer Charge stets vergleichbare aktorische Kennwerte. Insbesondere die vollpolymeren Dreischicht-DEAs zeichneten sich, auch bei dynamischer Dauerbelastung, durch sehr kurze Schaltzeiten im ms-bereich sowie eine hervorragende Stellweg-Wiederholungsgenauigkeit aus. Bei Feldstärken bis 70 kv/mm konnten hohe Dehnungen im zweistelligen Prozentbereich erzielt werden. Durch die gezielte Reduzierung der Dielektrikum-Schichtdicke <50 μm ließ sich zudem die notwendige Ansteuerspannung für Auslenkungen im Prozentbereich auf unterhalb 1000 V senken. Bei einer weiteren, kontrollierten Schichtdicken- Verringerung sollten sich damit Mehrschicht-DEAs realisieren lassen, welche auch mit einer deutlich kostengünstigeren Elektronik (<600 V) angesteuert werden können. Unter Ausnutzung unterschiedlicher Aktorprinzipien wurden im Anschluss zahlreiche DEA- Demonstratoren angefertigt, für welche Lebensdauern von bis zu >10 5 Zyklen im dynamischen Betrieb sichergestellt werden konnten. Zusätzlich wurde erfolgreich ein Multilayeraufbau von 11 Schichten (Höhe jeweils 50 μm) mit einer aktiv arbeitenden Fläche von 465 cm² realisiert, für welchen auch bei variierender Vorspannung eine extrem hohe Kraftwirkung (>20 N) nachgewiesen wurde. 114

117 Im Rahmen diesen Verbundprojektes ist es damit gelungen, eine vielseitige und kostengünstige vollpolymere aktorische Plattform aufzubauen, bei der alle gravierenden Nachteile aktueller DEAs adressiert und behoben werden konnten. Die im Projekt entwickelten DEAs lassen sich aktorisch flexibel einstellen und verbinden dabei ein ausgezeichnetes Dehnungs- und Kraftverhalten mit einer außerordentlich hohen Materialverträglichkeit und Lebensdauer. Der Schwerpunkt bei zukünftigen Projekten wird vor allem auf der Entwicklung von anwendungsorientierten DEAs sowie dem Transfer des Herstellungsprozesses vom Labormaßstab auf großflächige Fertigungstechnologien (zb. Rolle-zu- Rolle) liegen. Objective The aim of this project is the development of a new actuator platform (e.g. for robotics or micro-actuators) based on monolithic dielectric elastomeric actuators (DEA). The conceptual new actuators should avoid the limiting factors of state of the art DEAs, e.g. (a) low durability (b) disproportional high operating voltage for an electric controlled system as well as (c) the challenging system integration (e.g. due to significant incompatibility of electrode and dielectric material). Results First of all, suitable di(electric) fillers and a silicone elastomeric matrix were selected as base materials. The focus on the latter was mainly on the processibility and the specific adjustment respectively reproducibility of mechanical properties. Selected strategies allowed a controlled build-up of monolithic elastomeric multilayer-composites, which showed superior material compatibility and stability (>2 mio. stress cycles). For the design of monolithic DEAs several strategies were investigated. On the one hand five-layered DEAs were fabricated. Spray coating technique was used to apply the electrodes on the thin defined dielectric which were sealed afterwards with silicone matrix. In the second half of the project full polymeric three-layered DEAs were developed, where both dielectric and electrodes consisted of the same elastomeric matrix. For this purpose the required composite materials were fabricated using various dispersion technologies with varying amount of conducting or dielectric composite filler. The mechanical and electrical properties of these materials were thoroughly analyzed. The actuator characteristics of the DEAs were investigated by a specially designed, ultraprecise measurement setup. Structurally identical DEAs of the same batch showed comparable actuator properties. Especially the full polymeric three-layered DEAs exhibited short switching times (down to ms) and an excellent repeat accuracy of displacement, even under a continuous and high dynamic load. At electric field-strengths up to 70 kv/mm contraction values in the double-digit percentage range were obtained. Due to the controlled decrease of the dielectric layer thickness <50μm the essential drive voltage for displacements in the percent range could be reduced below 1000V. By a further, defined thickness-reduction it should be possible to realize multilayered DEAs, which can be controlled by significantly lower cost electronics (<600V). Subsequently, using different actuation principles, a number of DEA-demonstrators were fabricated, for which durability values up to >10 5 load cycles have been ensured in dynamic mode of operation. Furthermore, a multilayered-dea (11 layers, each 50μm) exhibiting an active area of 465 cm² could be realized, for which an extremely high force effect (>20 N) was experimentally verified, even at varying preload conditions. Within the scope of this BMBF joint project we have successfully established a versatile und cost-efficient full polymeric DEA platform, which could resolve the most significant disadvantages of state-of-the-art DEAs. The developed DEAs can be adjusted flexibly regarding their actuation performance while combining excellent displacement and force behavior with an outstanding material compatibility and durability. The main focus in upcoming projects will be on the development of application-oriented DEAs and on the transfer of the fabrication process from laboratory scale to large-scale technologies (e.g. rollto-roll). 115

118 Projekt: ESF-Landesinnovationspromotion Entwicklung und Aufbau eines vollkommen autonomen Mikrofluidik- Prozessors mit integrierter pneumatischer Ansteuerung Development and construction of a completely autonomously working microfluidic processor with integrated pneumatic control Projektleiter: Bearbeiter: Prof. Dr. A. Richter Dipl.-Ing. Rinaldo Greiner (seit ) / Merle Allerdißen Finanzierung: ESF-Landesinnovationspromotion / SAB-Projektnummer: Laufzeit: Zielstellung/Ergebnisse Das Vorhabensziel ist die Entwicklung und der Aufbau eines vollkommen autonom arbeitenden Mikrofluidik-Prozessors, der mit Hilfe einer pneumatischen Druckquelle angetrieben wird. Zwei unterschiedliche Prozessortypen werden dabei untersucht: (a) Ein Prozessor, in dem die Steuerelemente direkt von dem Prozessmedium kontrolliert werden sowie (b) ein Prozessor, in dem der große Teil der Steuerelemente in einer separaten Ebene integriert ist und die Prozessflüssigkeit somit indirekt gesteuert wird. Da diese Forschungsarbeit primär den Funktionsnachweis des oben beschriebenen neuen Prinzips bearbeitet, wird als Materialbasis PDMS verwendet, das sich durch Soft Lithografie einfach strukturieren lässt. PDMS bietet den Vorteil, dass Demonotratsren sehr schnell und einfach aufgebaut werden können. Als Schaltelemente wurden auf dem Chip Öffner- und Schließerventile integriert, die ohne externe Hilfsenergie schalten. Bei den Öffnerventilen handelt es sich um nicht vernetzte Polymerketten des Materials Polyethylenglycol (PEG). Durch Schablonendruck und manuelles pick and place werden die Ventile im Kanal platziert. Bei Flüssigkeitskontakt beginnt das Polymer sich aufzulösen, wobei die gelösten Polymerketten durch den Flüssigkeitsstrom abtransportiert werden. Der Auflösevorgang wurde für PEG's mit verschiedener Kettenlänge, verschiedener Anströmfläche und variablem Anströmwinkel, sowie Anströmgeschwindigkeit durchgeführt. Für den Auflösevorgang wurde ein Simulationsmodell auf der Basis des Fick'schen Gesetzes aufgestellt, dass sehr gut mit den erzielten praktischen Ergebnissen übereinstimmt. Der direkt angesteuerten Prozessor besteht aus zwei Kanalebenen, die die für eine Analyse benötigten Prozessmedien separat führen. An definierten Stellen sind die beiden Ebenen durch eine auflösbare Membran getrennt und nur dort, wo die Flüssigkeit der oberen und unteren Kanalebene diese auflösbare Membran benetzt, kann es zu einem Mischvorgang der Flüssigkeiten kommen. Die Membran, die hinsichtlich geeigneter Materialien und deren Auflöseparameter untersucht wurde, sowie die bereits charakterisierten Schließerventile und die Öffnerventile wurden manuell in den Demonstrator integriert. Die Funktionsfähigkeit des entwickelten Ansatz für den Aufbau von autonom arbeitenden Mikrofluidik-Prozessoren konnte mit dem Demonstrator eindrucksvoll nachgewiesen werden. Auch der indirekt angesteuerten Prozessor besteht aus zwei Kanalebenen. In der einen Ebene, der Steuerebene, befindet sich der große Teil der Steuerelemente, in der zweiten Ebene, in der Reaktionsebene, werden die Prozessmedien manipuliert. Die beiden Ebenen sind durch eine flexible Membran getrennt, die es ermöglichte, dass die in der Steuerebene platzierten Elemente auch auf die Reaktionseben einwirken. In diesem Zusammenhang wurden die elastischen Eigenschaften der Membran untersucht und die Kanalstruktur beider Ebenen optimiert. Zu dem wurden die im Prozessor eingebauten indirekt und direkt wirkenden Ventile hinsichtlich ihres spezifischen Schließverhaltens charakterisiert. Die 116

119 Herstellungsprozesse der für den Chip notwendigen Druckquellen wurden untersucht und erste Tests an den Druckquellen durchgeführt. In der nachfolgenden Abbildung istt eine Stufe des aufgebautenn Demonstrators im Grundzustand und nach dem Schaltvorgang der Ventile dargestellt. autonom arbeitender Demonstrator zum Mischen von zwei Flüssigkeiten in äquidistanten Zeitabständen: li.) Demonstrator im Grundzustand, re.) Demonstrator im befüllten Zustand Aim and results The aim of this work is the developmen nt and the constructionn of a completely autonomously working microfluidic processor, which iss driven by a pneumatic pressure source. Two different approaches are investigated, (a) a processor in which the active elements are directly controlled by the process medium and (b) a processor with w an indirect control of the elements. Possible materials were investigated and characterized. The result was that thermoplastic materials are very suitable for the fabrication of microfluidic processors, because they have a high chemical resistance and a high optical transparency. Too structure these thermoplastics, it is suitable to use hot embossing techniques, where the substrate is heated above its glass transition temperature and structuredd with an appropriate stamp. A new concept for structuring of thermoplasticss with a specially designed stamp was developed. The advantage of this new approach is thatt thermoplastics can be structured at low temperatures and high pressures. Besides the channel structure, valves are the most important control units of a microfluidic processor. In the last year, so called closing valves were investigated intensively. The closing valves are based on hydrogels and aree integrated into the channel system. At the beginning of the process the hydrogel valves are deswollen. Upon contact with liquid they start to swell and close the channel completely. Thee intensive research on o this fieldd enables us now to adjust the hydrogel swelling behavior for specific user requirements. The directly controlled processor consists of two layers, where the two process mediums necessary to perform certain analyses are manipulated separately. Only in defined parts of the microprocessor dissoluble membranes separate the two layers. They allow for a controlled mixing as soon as the process media wet and dissolve the membrane. Materials and properties of membrane, closing valves and opening valves v weree characterized. The active elements were manually integrated in the processorr and the previously developed approach to build autonomously working microfluidic processor could impressively be accounted for. Regarding the processor with an indirect control, most of the control elements are integrated in a separate layer, a control layer, whereas the process medium is processed in the reaction layer. Both layers are separated by a thin, flexible membrane. When a hydrogel placed in the control layer swells, it deflects the membrane and not only controls the control layer, but also the reaction layer. The the channel structures of control andd reaction layer were optimized. Additionally, the specific closing behavior of the integrated,, directly and indirectly working closing valves were characterized and first investigations of the pressure source were performed. 117

120 4.4 Forschungsprojekte an der Professur Optoelektronische Bauelemente und Systeme Energiesparende Displays auf der Basis von Siliziummikrospiegeln Energy-saving displays based on silicon micro-mirrors Projektleiter: Prof. Dr. H. Lakner/ Dr. C. Drabe Bearbeiter: Dr. S. Langa (seit ), Dr. U. Todt (seit ) Förderer: SAB/EU Laufzeit: Projektpartner: Fraunhofer Institut IPMS Zielstellung Ziel des Projekts ist die Entwicklung einer Bondtechnologie auf Wafer-Level-Basis, die es ermöglicht, die am Fraunhofer IPMS in Entwicklung befindlichen Bauelemente energieeffizienter zu gestalten. Mit Bauelementen sind in diesem Zusammenhang die Mikroscannerspiegel gemeint, die als Hauptkomponente in energiesparenden Displays eingesetzt werden können. Das Ziel setzt voraus dass die Bauelemente in Vakuum verkapselt werden müssen. Das wiederum verlangt dass mindestens 3 Wafer in einem Stapel gebondet (= zusammen geklebt) werden. Die Bondmethoden müssen vakuumkompatibel sein. "vakuumkompatibel" heißt, unter anderem, dass keine typischen Klebstoffe, z.b. Polymere, bei der Bondung verwendet werden können. Zusätzlich muss die Bondtemperatur unter 450 C gehalten werden, um die elektrische Zuverlässigkeit der Aluminium-Metallbahnen nicht zu gefährden und die optische Qualität der Spiegel so wenig wie möglich zu beeinflussen. Am besten wäre eine Bondmethode zu verwenden die schon bei Raumtemperatur zuverlässig funktioniert. Ergebnisse Folgende Bondmethoden wurden mittels Teststrukturen untersucht: Anodisches Bonden, Direktbonden mit Plasmaaktivierung und einer anschließenden Temperung bei 350 C, Direktbonden mit Plasmaaktivierung ohne anschließende Temperung (= Raumtemperatur Bonden) und glass frit Bonden. Die Teststrukturwafer wurde am Fraunhofer IMPS hergestellt. Die Evaluierung der Bondmethoden mit Plasmaaktivierung wurden in Zusammenarbeit mit den Firmen EV Group, Österreich (Plasmaaktivierung und Temperung bei 350 C) und Mitsubishi Heavy Industries Ltd, Japan (Raumtemperatur Bonden) durchgeführt. Anodisches Bonden und glass frit Bonden wurden ohne externe Partnerschaften evaluiert. Für die Evaluierung der Bondmethoden wurde wie folgt vorgegangen: a) Teststrukturen wurden mittels KOH-Ätzung auf 6 Zoll Si-Scheiben hergestellt (s. Bild); b) Die Teststrukturen wurden in Vakuum gebondet; c) Die gebondeten Scheiben wurden danach in ~4x7 mm² Chips gesägt; d) Die Vakuumstabilität wurde innerhalb der letzten 10 Monate mittels Weiß- Licht-Interferometer (WLI) mehrmals gemessen; e) Nach jeder WLI Messung wurde ein Klimatest bei 80 C und 80 % Feuchtigkeit für 1 Woche durchgeführt. Die WLI- Messungen zeigten dass alle vier Bondmethoden die untersucht wurden im Prinzip vakuumkompatibel sind. Jede Methode hat allerdings ihre Vorteile und Nachteile, die bei der finalen Verkapselung von Mikrospiegeln unbedingt berücksichtigt werden müssen. Zum Beispiel: Bonden bei Raumtemperatur ist sehr geeignet für unsere Ziele was die Temperatur betrifft, ist aber sehr empfindlich bzgl. der Rauhigkeit der zu bondenden Oberflächen. Auf der anderen Seite ist das glas frit Bonden sehr unempfindlich was die Rauhigkeit betrifft, funktioniert aber nur bei Temperaturen über 400 C. Objective The aim of the project is to develop a bonding wafer level technology, which will allow us to make scanning micro-mirrors developed at Fraunhofer IPMS more energy-efficient. The 118

121 scanning micro-mirrors are intended to be used as a main component in energy-saving displays. Our approach is to encapsulate the scanning micro-mirrorm rs at wafer level in vacuum. This in turn requires that at least three wafers must be bonded (= glued) together in a stack. The bonding methods must be vacuum compatible. "Vacuum-compatible" means, among other things, that no typical adhesives, e.g. Polymers, can be used in the bonding procedure. In addition, the bonding temperature must be maintained m lower than 450 C in order not to jeopardize the electrical reliability of the aluminum metall connections and to influence as little as possible the optical quality of the scanning micro-mirrors. The best way would be to have a bonding method which works reliable at room temperature. Results The following bonding methods have been investigated by means m of test structures: anodic bonding, direct bonding with plasma activation and subsequent annealing at 350 C, direct bonding with plasma activation without subsequent temperingg (= room temperaturee bonding) and the so called glass frit bonding. The wafers with test structure were produced at the Fraunhofer IMPS. The evaluation of the bonding with plasma activation methods were performed in collaboration with the following companies: EV Group, Austria performed (plasmaa activationn and annealing at 350 C) and Mitsubishi Heavy Industriess Ltd., Japan (room temperature bonding). Anodic bonding and glass frit bonding were evaluated without external partnerships. For the evaluation of the bonding method the following procedure p was chosen: a) test structures were prepared by KOH etching on 6 inch siliconn wafers; b) ) The test structures were bonded in a vacuum at wafer level (see Figure 1); c) The bonded wafers were then cut into ~ 4x7 mm² chips, d) the vacuum stability was within the past 10 months by means of white-lighclimatic stress at 80 C and 80 % humidity for 1 week was conducted. The WLI measurements showed that all four bonding methods which have been investigated are in principle vacuum compatible. However, each method has its advantages and interferometer (WLI) severall times measured; e) After A each WLI measurement, a disadvantages which must be taken into consideration for the final encapsulation of the scanning micro-mirrors. Forr example: bonding at room temperature is very suitable for our goals in terms of temperature, but is very sensitive with respect to the roughness of the surfaces to be bonded. On the t other side the glass frit bonding is not very sensitive in terms of roughness, but only works at temperatures above 400 C. Gebondete 6 Zoll Scheibe (Beispiel) mit verbogenenn Membranen/Teststrukturen (vor dem Säge- prozess) Bondedd 6 inch Wafer with bended membranes/ test structures 119

122 Herstellung von Koppelstrukturen für polymere Lichtwellenleiter unter Nutzung von Nano-Imprint-Lithographie Production of Coupling Structures for Polymer Waveguides by Nano-Imprint- Lithography Projektleiter: Prof. Dr. W.-J. Fischer, Prof. Dr. H. Lakner Bearbeiter: Dipl.-Ing. Andreas Finn Förderer: DFG im Graduiertenkolleg Nano- und Biotechniken für das Packaging elektronischer Systeme (DFG1401/2) Laufzeit: Zielstellung Ziel dieses Projektes ist es, polymere Wellenleiter durch robuste und effiziente Koppelstrukturen optisch mit einem Messaufbau zu verbinden. Dabei sollen die Vorteile der Nano- Imprint-Lithograthie (NIL) genutzt werden, dreidimensionale Strukturen innerhalb eines Fertigungsschrittes zu replizieren. Ergebnisse Mit Hilfe von Simulationen wurden unterschiedliche Kopplungsstrukturen hinsichtlich ihrer erreichbaren Kopplungseffizienz untersucht. Für die Erfüllung der Anforderungen eignen sich dabei Koppelstrukturen, welche ein monolithisch in einen Wellenleiter integriertes optisches Gitter als funktionales Element verwenden und eine Ein- und Auskopplung senkrecht zur Oberfläche erlauben (s. Bild). Für die Replikation solcher Strukturen mittels NIL werden entsprechende Masterstrukturen benötigt. Für die Herstellung dieser Masterstrukturen in Silizium wurde ein Prozess entwickelt (s. Bild). Von den hergestellten Masterstrukturen sollen polymere Arbeitsstempel abgeformt werden, mit denen schließlich der eigentliche Imprint erfolgt. Die funktionsrelevanten Strukturgrößen erfordern entweder eine Elektronenstrahlbelichtung (EBL) oder eine Projektionslithographie mittels eines Wafersteppers. Beide Fertigungsverfahren werden zurzeit mit Hilfe von externen Kooperationspartnern evaluiert. Erste Ergebnisse eines strukturierten Siliziummasters, welcher mittels EBL belichtet wurde, zeigt das Bild unten. Weiterhin erfolgt die Untersuchung unterschiedlicher Polymerer Stempelmateralien hinsichtlich ihrer Eignung zur Abformung von Strukturen mit großen Aspektverhältnissen. Dabei konnten dichte 300 nm Strukturen mit einer Höhe von 1800 nm repliziert werden (s. Bild). Schließlich erfolgten Versuche zur Replikation in ein UV-härtendes Polymer. Hierbei konnte durch eine geeignete Materialkombination die sonst NIL intrinsische Restschicht vollständig vermieden werden. Eine Fertigstellung der Multilayer Imprintmaster und deren Replikation soll im ersten Halbjahr 2012 erfolgen. Anschließend werden die hergestellten Strukturen optisch charakterisiert. Objective The aim of this project is to efficiently connect the waveguides to a surounding measurement setup in a robust manner via optical couplers. Thereby, the benefits of the nano-imprint lithography (NIL) to be able to pattern three-dimensional structures within one replication step should be used to fabricate waveguides, micro-ring resonators as well as coupling structures together to ensure the production of low-cost sensor systems. Results Different structures which can serve as possible coupling structures were evaluated. Thereby the achievable coupling efficiency and the necessary alignment accuracy were analyzed using simulations. By taking the production effort into account, a grating coupler was selected. Grating couplers (see fig.) allow an in- and out-coupling of light from a waveguide perpendicular to the surface. To be able to replicate the multi-level structures including waveguide and grating couplers, a dedicated master is necessary. For the fabrication of such 120

123 master structuress in silicon, the process flow depicted in the figure has been proposed. Polymer working stamps casted from the silicon masters will enable the replication in UVthe mode curable resist. Due to the dimensions given by the material properties, the used wavelength and of operation of the grating couplers, electron beam lithographyy (EBL) or projection lithography with a wafer stepper has to be used. Both lithography methods are currently under evaluathe figure tion. First results of structured silicon masters patterned withh EBL are depicted in below. Furthermore, the replication capability of different polymer materials for working stamps with highh aspect ratio features is investigated. It has been possible to replicate 300 nm lines with a height of 1800 nm in a polymer working stamp s (see fig.) which also could be cleaned several times. With the right material choice, residual layer free imprints have been shown in a UV-curable resist (seee fig.). The production of silicon master stamps is on track and first samples should be ready within the first half-year of Afterwards, the replication and consecutively the optical characterization of the achieved results have to take place. Sensoraufbau mit Gitterkopplerr und einer optischen Faser Sensorsystem with grating coupler (transparent) and optical fibre Prozessablauf für die Fertigung von Multiniveau Imprintstempeln Proposed process flow for the production of multi-level imprint molds a) b) c) Silizium Imprintmaster mit Wellenleiter und Bragg-Reflector(a), polymerer Arbeitsstempel mit Gitterkoppler und Bragg-Reflektor (b), Restschichtfreier Imprint in ein e UV-härtendes Polymer(c) Imprint mold realized in silicon with waveguide and Bragg-reflector(a), polymer working stamp with grating-coupler and Bragg-reflector(b), residual layer free imprint performed into UV-curable polymer (c) 121

124 Ernährungsüberwachung basierend auf der Analyse von Kaugeräuschen Nutrition monitoring based on the analysis of chewing sounds Projektleiter: Bearbeiter: Förderer: Laufzeit: Projektpartner: Prof. Dr. H. Lakner, Prof. Dr. W.-J. Fischer Dipl.-Ing. S. Päßler DFG im Graduiertenkolleg Nano- und Biotechniken für das Packaging elektronischer Systeme (DFG 1401) und FhG IPMS (2. Förderperiode) FhG IPMS Zielstellung Übergewicht und Adipositas sind verbreitete Gesundheitsrisiken, die kardiovaskuläre Krankheitsbilder, Diabetes und verschiedene Arten von Krebs hervorrufen können. Um ihnen entgegenzuwirken muss eine gesunde Ernährung aufrechterhalten werden. Viele Probleme resultieren aus dem hohen Aufwand, den eine disziplinierte Ernährungsüberwachung für die betroffenen Personen bedeutet. Eine automatische Erfassung der täglichen Speisen wäre für diese Personen eine große Hilfe und würde langfristig bei der Analyse und Umstellung des Ernährungsverhaltens helfen. Vorhabensziel ist die Entwicklung eines transportablen medizintechnischen Systems zur Überwachung der menschlichen Ernährung. Das System soll für eine zuverlässige automatische Protokollierung der Nahrungs- und Flüssigkeitsaufnahme des Patienten und zur Untersuchung des menschlichen Ernährungsverhaltens eingesetzt werden. Grundlage dieses nicht-invasiven Systems bildet die Analyse der bei der Nahrungsaufnahme entstehenden Kau- und Schluckgeräusche. Die über einen akustischen Sensor aufgenommenen Geräusche werden mittels spezieller Signalanalysealgorithmen analysiert. Daraus sollen Erkenntnisse über den Zeitpunkt der Nahrungsaufnahme und die Eigenschaften der aufgenommenen Nahrung ermittelt werden, die als Protokoll gespeichert und dem Nutzer zugänglich gemacht werden. Ergebnisse Ein Prototyp eines Kaugeräuschsensors ist auf der Basis von Mikrofonen, die in ein Hörgerätegehäuse integriert sind, erstellt worden. Mit diesem ist eine umfangreiche Datenbank von Geräuschdaten zur Algorithmenentwicklung angelegt worden. In Zusammenarbeit mit dem Institut für Akustik und Sprachkommunikation der TU Dresden wurde ein Konzept für das Klassifizieren aufgenommener Nahrung anhand der entstehenden Kau- und Schluckgeräusche entwickelt. Die Detektion der Essensaufnahme wurde in einem MatLab -Algorithmus umgesetzt, wobei im äußeren Gehörkanal aufgenommene Geräuschpegel mit Umgebungsgeräuschpegel verglichen werden. Erkennraten von 83,8 % konnten erzielt werden. Die hierarchische Struktur von Kaugeräuschen wurde durch ein statistisches Geräuschmodell mittels Hidden Markov Models (HMMs) und einer endlichen Grammatik nachgebildet. Auf Basis der aufgenommenen Datenbank konnten Erkenngenauigkeiten von 79 % erreicht werden. Objective Overweight and obesity are common health challenges, which are the reason for many cardiovascular diseases, Diabetes and several kinds of cancer. To counteract these risks, a healthy diet has to be maintained. Many problems are caused by the high effort that a disciplined diet means to the people affected with overweight. Therefore, an automated logging of the nutritions consumed daily would be a great benefit for these people and could help to change their food intake behaviour in long-term conditions. The aim of the research is to develop a portable medical system to monitor the human food intake behaviour. This system is designed for use as reliable automatic protocoling method of the food and liquid intake of the patient and as research tool in the field of food intake research. The basic principle for this non-invasive system is the analysis of the chewing and swallowing sounds caused by the process of food intake. These sounds are recorded by an 122

125 acoustical sensor and analyzed using special signal processing algorithms. Information about the moment of food intake and the properties of the consumedd meal should be extracted from these sounds and saved as a protocol with accesss by the user. Results An initial prototype of a chewing sound sensor was developed based on microphones integrated in a hearing aid package. A large database of sound data has been built for the use of algorithm development. In cooperation with the Institute of Acoustics and Speech Communication ( IAS) of the TU Dresden a conception for the classification of consumed food based on the recorded chewing and swallowing sounds is developed. Food intake detection is programmed in MatLab, where sound level recorded in the outer ear canal is compared with the level of environmental sound. Detection rates of 83.8 % couldd be achieved in this way. The hierarchic structure of chewing sounds was modelled using a statistical model build of Hidden Markov Models (HMMs) and a finite state grammar. Based on the recorded database, an accuracy of 79 % could bee reached. Anwendungsteil des Sensorsystems zur Aufnahme von Kau- und Schluckgeräuschen bestehend aus zwei Mikrofonen in einem Standard-Hörgerätegehäuse ( links), Verwechslungsmatrix mit Klassifikationsergebnissen der automatisierten Speiseerkennung (rechts). Application part of the sensor system consisting of two microphones integratedd in a standard hearing aid package used to record chewing and swallowing sounds (left), confusion matrix containing classification results of the automatic foodd intake classification (right). Geräuschsignal und Spektrogramm der Kaugeräusche beim Verzehr von Äpfelnn Sound signal and spectrogram of the chewing sounds caused by thee consumption of apples 123

126 MEMS-basiertes konfokales Fluoreszenz Laser-Scanning-Mikroskop MEMS- based confocal fluorescencee Laser-Scanning-Microscopee Projektleiter: Bearbeiter: Finanzierung: Laufzeit: Förderer: Prof. Dr. H. Lakner M. Phys. C. Bechtel ESF-Promotionsförderung Fraunhofer IPMS Zielstellung Das Vorhabenziel ist die Entwicklung eines konfokalen Fluoreszenz Laser-Scanning- in Mikroskops basierend auf einem 2d Mikroscannerspiegel mit adressierten Anwendungen der Medizin- und Biotechnologie. Bei diesem Verfahren wird die d zu untersuchende Probe durch das zweidimensional abgelenktee Licht einer Laserquelle beleuchtet und ein Fluoreszenzprozess gezielt angeregt. Dass rückgestreute Licht wird w über einen Filter gegen- über anderen Wellenlängen ausgewählt und durch die Kombination mit der Positions- mit information das Bild der Probe rekonstruiert. Durch den konfokalen Ansatz in Verbindung einem Z-Shifter ist die gezielte Auswahl eines Tiefenbereiches möglich, m der r scharf abgebildet wird. Das Optikdesign für dieses Mikroskops wurde bereits erfolgreich mit einer kommerziell erhältlichen Software simuliertt und analysiert. Ein Demonstratorsystemm wurde mit den entsprechenden opto-mechanischen Komponenten realisiert und u wird imm Folgenden mit speziell vorbereiteten Proben getestet und charakterisiert. Zur Charakterisierung des Systems, mit einer für die Anwendung g im Bereich der Medizin- M und Biotechnologie charakteristischen Wellenlänge von 488 nm, sind im Anschluss Messungen an biologischen Proben geplant und in Vorbereitung. (a) Schematischer Aufbau des Fluoreszenz Laser-Scanning-Mikroskops basierend auf einem 2D Mikroscannerspiegel (Fraunhofer IPMS) (b) 2d Mikroscannerspiegel mit einem Spiegeldurchmesser von 2 mm (a) Schematic fluorescence Laser-Scanning-Microscope layout basedd on a dual-axis MEMS mirror (Fraunhofer IPMS) (b) Dual-axis MEMS mirror with a mirror diameter of o 2 mm Objectivee / Results The aim of the research is the development of a confocal fluorescencee Laser-Scanning- Microscope for medical and biotechnological applications. Basedd on a dual-axis MEMS mirror (Fraunhofer IPMS, Germany) the confocal character of the system residess in the use of the same path for illumination and detection with spatial filtering of the signal collected from the subsurface analysiss plane. Illumination is provided by a laser and the backscattered fluorescence light is separated from the illumination light by a filter, before being detected 124

127 behind the pinhole. The image reconstruction will be computed byy correlation of the measured intensity and the position information. To realize cross-sectional imaging in biological specimen a z-shifter can be applied. In order to achieve an optimal arrangement of the optical components an optical design software was used for simulation andd theoretical performance analysis. With the use of commercially available optics an optical set up has been realized. Too characterize and to demonstrate the ability of this system for visualization and analysis of biological samples test measurements will be performed with a 488 nm laser. Demonstratoraufbau des konfokalen Fluoreszenz Laser-Scanning-Mikroskopss mit eingezeichnetem Beleuchtungs- (rot) und Detektionsstrahlengang (blau) Demonstrator setup of the confocal fluorescence Laser-Scanning-Microscopes with highlighted illumination (red) and detection (blue) lightt path 125

128 4.5 Gemeinsame Forschungsaktivitäten von TU Dresden (IHM) und NaMLab ggmbh In den letzten drei Jahren wurde ein breites Spektrum von Forschungsaktivitäten zwischen Namlab und IHM initiiert um die Kooperation durch gemeinsame Aktivitäten zu stärken und Synergien zu nutzen. MERLIN Projektleiter: Dr. Uwe Schröder, Prof. T. Mikolajick Bearbeiter: Frank Benner, Dr. Andre Wachowiak, Stefan Döring, Katja Yurchurk Förderer: SMWK (Projekt-Nr.: 12462/2043) Laufzeit: Die beiden Institute hatten sich bisher im Projekt MERLIN auf die Erforschung und Entwicklung zukünftiger Materialien für den DRAM-Kondensator beschränkt. Seit der Neuausrichtung des Projektes im August 2009 wurden die Aktivitäten auf dielektrische Materialien mit verbesserter dielektrischer Konstante, Passivierungs- oder Absorptions- und Konversions- und Filtereigenschaften erweitert. Die neuen Materialien wurden dazu mittels PVD im Vergleich zu ALD abgeschieden und mit verschiedenen Verfahren elektrisch und physikalisch charakterisiert. Die Zielanwendungen sind hier: Rückseitenpassivierung und Konversionsschichten für Solarzellen, Filterschichten für Photodioden und Dielektrika für ferroelektrische Kondensatoren. Multifunktionale Speicher Projektleiter: Dr. Stefan Slesazeck, Prof. T. Mikolajick Bearbeiter: Dr. Stefan Slesazeck Förderer: BMBF (Förderkennzeichen: 13NIOl43) Laufzeit: Die wesentliche Voraussetzung für die Entscheidung zum Einsatz zukünftiger Speicherkonzepte ist die vorliegende Datenbasis. Nur wenn hinreichend genügend und verlässliche elektrische Daten vorliegen, können Vor- und Nachteile, noch vorhandene Risiken und Chancen aber auch die Paßfähigkeit auf Marktanforderungen festgestellt und bewertet werden. Um diese Datenbasis zu schaffen, bedarf es zunächst Teststrukturen und anschließend entsprechende elektrische Messungen und Charakterisierungen. Ideal ist es, wenn die im Projekt erforschten Speicherkonzepte jeweils eine vergleichbare Datenbasis liefern würden, um sie auch untereinander bewerten zu können. Diese Aufgabe bzw. dieses Ziel verfolgt der Namlab im Projekt. Cool Silicon Spitzencluster Projektleiter/ Cluster Koordinator: Prof. Thomas Mikolajick Bearbeiter: Dr. Thomas Hingst, Martin Krupinski Förderer: BMBF (Projektnummer: IJNI0184) Laufzeit: bis Ziel des Vorhabens ist die physikalische Charakterisierung und die Erforschung von neuen, energieeffizienten Komponenten, die in neuen Serverkomponenten zum Einsatz kommen sollen. Für die Charakterisierung bestimmter Bausteinstrukturen ist die Erforschung einer neuen FTIR Spektroskopiemethode vorgesehen. Im Unterschied zu den üblichen dispersiven Methoden bietet der FTIR Ansatz die Chance, sehr viele schnellere, effizientere und zerstörungsfreie Messungen der aktiven Bereiche eines Chips vorzunehmen. Im zweiten Arbeitspaket sollen mittels Hallmessungen der mechanische Stress der von Partnern entwickelten Transistoren und so die Beweglichkeit der Ladungsträger erforscht werden. Eine höhere Beweglichkeit ermöglicht einen geringeren Stromverbrauch. 126

129 Farbstoffzelle Projektleiter: Bearbeiter: Förderer: Laufzeit: Dr. Ingo Dirnstorfer, Prof. T. Mikolajick Dr. Ingo Dirnstorfer, Frank Fröhlich AiF IGF (Projektnummer: BR/3) ) Das Ziel des Projektes ist die Herstellung von Farbstoffsolarzellen mit neuentwickeltem Halbleiterschichtaufbau, einem neuartigen Farbstoff und unter Einsatz innovativer Abscheideverfahren. Dabei stehen v.. a. kurzlebige Anwendungen z. B. für Funktions- bekleidung oder für den Automobilsektor im Focus des Projektes. Auff Grund der stetigen Weiterentwicklung des Schichtsystems und der Solarzellenmodule werden langlebigere Anwendungen auch Teil des Projektes sein. Gebäude der NaMLab ggmbh neben dem Reinraumgebäude am MIERDEL-BaM au 127

130 5. Publikationen / Publications 5.1 Monografien und Buchbeiträge / Monographs and Books U. Marschner: Sensorische funktionale Mikroimplantate, Habilitationsschrift 5.2 Zeitschriftenartikel und gedruckte Tagungsbeiträge / Journals, Proceedings Prints Albert, M., Strobel, C., Leszczynska, B., Zimmermann, T., Kuske, J., Bartha, J.W.: Dynamic VHF-PECVD Deposition with Linear Plasma Sources for Amorphous and Microcrystalline Silicon Solar Cells. Soc. Vacuum Coaters (SVC), Techn. Conf. Proc., Chicago, 2011 Bechtel, C., Knobbe, J., Grüger, H., Lakner, H.: Confocal fluorescence microscope for clinical dermatologic applications. Proc. SPIE 8167, 81670S (2011). Benner, F., Tarasova, M., Moll, P., Jakschik, S., Dirnstorfer, I., Knaut, M., Mikolajick, T.: RF-Magnetron Sputtered Dielectric Backside Passivation. Proc. 26 th Europ. Photovoltaic Solar Energy Conf., Hamburg, Berndt, D., Heber, J., Sinning, S., Rudloff, D., Wolschke, S., Eckert, M., Schmidt, J.U., Bring, M., Wagner, M., Lakner, H.: Calibration of diffractive micromirror arrays for microscopy applications. Proc. SPIE 8191 (2011), Bott, S.,Rzehak, R., Vasilev, B., Kücher, P., Bartha, J.W.: A CMP Model Including Global Distribution of Pressure. IEEE Trans. Semicond. Manufacturing 24 (2011), Finn, A., Jahn, A., Kirchner, R., Künzelmann, U., He, J., Wägner, M., Fischer, W.-J.: Multilayer Nano-Imprint-Lithography Mold Fabrication Process. Proc. 3. GMM-Workshop Mikro-Nano-Integration, , Stuttgart, GMM Fachbericht 68, Finn, A., Schossig, M., Norkus, V., Gerlach, G.: Microstructured Surfaces on LiTaO3-Based Pyroelectric Infrared Detectors. IEEE Sensors J. 11 (2011), Finn, F., Hagemann, F., Hensel, R., Kirchner, R., Jahn, A., Fischer, W.-J.: Characterisation of the Geometrical Properties of Multilayer Nano-Imprint-Lithography Molds for Optical Applications. Proc. 37 th Int. Conf. Micro & Nano Engin., Henke, T., Geidel, M., Knaut, M., Albert, M., Bartha, J.W.: Flash-ALD - A Novel Approach for Atomic Layer Controlled Growth of Thin Films Induced by Flash Heating. Proc.. AVS 11th Int l Conf. Atomic Layer Deposition, Cambridge, US, June Hoffmann, M., Todt, U., Hesse, J., Philipp, A., Törker, M., May, C., Leo, K.: Realization of 33x33 cm² large area OLED panels. Proc. Plastic Electronics, Dresden, Geidel, M., Knaut, M., Albert, M., Bartha, J.W.: In situ XPS Investigation of the Chemical Surface Composition during the ALD of ultra-thin Aluminum Oxide Films. IEEE 2011 Semicond. Conf. Dresden, Sept , 2011, Dresden. He, J., Richter, K., Bartha, J.W., Howitz, S.: Fabrication of silicon template with smooth tapered sidewall for nanoimprint lithography. J. Vac. Sci. Technol. B 29 (2011), 06FC16FC Ispas, A., Peipmann, R., Adolphi, B., Efimov, I., Bund, A.: Electrodeposition of pristine and composite poly(3,4-ethylenedioxythiophene) layers studied by electro-acoustic impedance measurements. Electrochimica Acta 56 (2011), Kirchner, R., Adolphi, B., Landgraf, R., Fischer, W.-J.: Antisticking Layers on Antireflective Chromium for Hybrid (CNP) Nanoimprint Molds. Proc. SPIE 7545 (2011),

131 Kirchner, R., Finn, A., Teng, L., Plötner, M., Jahn, A., Nueske, L., Fischer, W.-J.: UV- Nanoimprinting Using Non-Transparent Molds and Non-Transparent Substrates. Microel.Engin., 88 (2011), 1-5. Kirchner, R., Kaiser, M.-K., Adolphi, B., Fischer, W.-J.: Chemical functional polymers for direct UV assisted nanoimprinting of polymeric photonic microring resonators. phys. status solidi A (2011), 1-7. Kirchner, R., Kleiner, A., Adolphi, B., Fischer, W.-J.: Comparison of silane monolayers on fused silica and chromium-oxi-nitride surfaces by angle resolved photoelectron spectroscopy and a new multi-layer model. Proc. Engin. Functional Interfaces (EnFI), Linz, , Abstr. 23. Kirchner, R., Nueske, L., Finn, A., Lu, B., Fischer, W.-J.: Stamp-and-Repeat UVImprinting of Spin-Coated Films: Pre-Exposure and Imprint Defects. Proc. 37 th Int. Conf. Micro & Nano Engineering Kirchner, R., Teng, L., Lu, B., Adolphi, B., Fischer, W.-J.: Degradation of Perfluorotrichlorosilane Antisticking Layers and the Impact on Bonded UV-Nanoimprint Molds, Mold Cleaning, and UV-Nanoimprinting. Proc. 23rd Int l Microprocesses & Nanotechnology Conf., Kokura/Fukuoka, , Abstr. 12D Kirchner, R., Teng, L., Finn, A., Fischer, W.-J.: UV-assisted Nanoimprinting using Opaque Molds and Substrates. Proc. BIT s 1 st Annual Low Carbon Earth Summit 2001, Sub-conf. 3: 1 st Ann. World Congr. of Nano-Science & Technology (NANO-S&T2011), Oct Kirchner, R., Teng, L., Lu, B., Adolphi, B., Fischer, W.-J. : Degradation of Perfluorotrichlorosilane Antisticking Layers: The Impact on Mold Cleaning, UV-Nanoimprinting, and Bonded UV-Nanoimprint Molds. Jpn. J. Appl. Physics 50 (2011), Kleiner, A., Suchaneck, G., Adolphi, B., Ponomareva, A., Gerlach, G.: PZT thin films deposited on copper-coated polymer film substrates. Europ. Meet. Ferroelectricity, Bordeaux, June 26.-July Knaut, M., Albert, M., Bartha, J.W., Dirnstorfer, I., Mähne, H., Jakschik, S., Mikolajick, T., Schlott, F., Dubnack, K., Kreisel, G.: Surface conditioning of the TiO 2 electrode in dyesensitized solar cells. Proc. 26 th Europ. Photovoltaic Solar Energy Conf., Hamburg Knaut, M., Albert, M., Bartha, J.W.: In-situ analytics for development and control of atomic layer deposition processes. Proc. 11th Europ. Adv. Equipment Control/Adv. Process Control (AEC/APC) Conf Knaut, M., Albert, M., Bartha, J.W.: QCM sensors for advanced process development and control. Proc. 11th AVS ALD Conf., Cambridge, US (2011). Kubasch, C., Bartha, J.W.: Fundamental relationship between capacitance-time measurements and gravimetric measurements for water absorption in a low-k dielectric. IEEE Int l Interconnect Technol. Conf. and 2011 Materials Adv. Metallization (IITC/MAM), 1-3. Kubasch, C., Schumacher, H., Ruelke, H., Mayer, U., Bartha, J.W.: Fourier Transform Infrared Spectroscopy of Moisturized Low-k Dielectric Materials. IEEE Trans. Electron Devices 58 (2011), Landgraf, R., Finn, A., Kirchner, R., Haugwitz, T., Arndt, S., Deicke, F., Fischer, W.-J.: Biosensor System with Polymer Microring Resonators Manufactured by Multilevel-UV- Nanoimprint. Proc. Mikro-System-Technik Landgraf, R., Haugwitz, T., Kirchner, R., Finn, A., Fischer, W.-J.: Planar Optical Waveguide Design for UV-Nanoimprinted Microring Resonator Based Biosensors. Proc. IEEE Sensors Leszczynska, B., Strobel, C., Albert, M., Bartha, J.W., Stephan, U., Kuske, J.: Influence of excitation frequencies ( MHz) in the VHF PECVD technique on the deposition rate and properties of silicon thin-film solar cells. Proc. 26 th Europ. Photovoltaic Solar Energy Conf., Hamburg

132 Leszczynska, B., Strobel, C., Albert, M., Zimmermann, T., Kuske, J., Bartha, J.W.: Dynamic VHF-PECVD technique for flexible substrate coating. Proc. 54 th Ann. SVC Techn. Conf., Chicago Marschner, U.; Sauer, S.; Neubert, H.; Fischer, W.-J. & Clasbrummel, B.: Osteosynthesis Plate Monitoring using a Wireless Bending Sensor, Biomed Tech 2011; 56 (Sup. 1), 2011 Neumann, V., Hiess, A., Jahn, A., Merkel, U., Richter, K., Viehweger, K., Wenzel, C., Bartha, J.W.: A Through Silicon Via concept for sensor applications. Proc. Semiconductor Conf. Dresden (SCD), Sept Parshina, L.S., Novodvorsky, O.A., Panchenko, V.Ya., Khramova, O.D., Cherebilo, Ye.A., Lotin, A.A., Wenzel, C., Trumpaicka, N., Bartha, J.W.: Photoluminescent properties of nitrogen and phosphorus doped ZnO thin films fabricated by pulsed laser deposition method. Laser Physics 21 (2011), Päßler, S., Fischer, W.-J.: Food Intake Activity Detection Using a Wearable Microphone. 7 th Int l Conf. Intelligent Environments, July 25 th - 28 th, 2011, Päßler, S., Wolff, M., Fischer, W.-J.: Chewing Sound Classification Using Algorithms of Speech Recognition. BMT 2011: 45. DGBMT Jahrestagg., Sept. 27 th - 30 th Päßler, S., Fischer, W.-J.: Acoustical Method for Objective food Intake Monitoring Using a Wearable Sensor System. 5 th Int l ICST Conf. Pervasive Computing Technologies for Healthcare (2011), May 23 rd - 26 th 2011, 1-4. Päßler, S., Wolff, M., Fischer, W.-J.: Chewing sound classification using a grammar based classification algorithm. Forum Acusticum 2011, June 26 th - July 1 st 2011, Päßler, S., Wolff, M., Fischer, W.-J.: Food Intake Recognition Conception for Wearable Devices. Proc. Mobile Health 11, May 16 th 2011, 1-4. Pügner, T., Knobbe, J., Lakner, H.: Basic angles in microelectromechanical system scanning grating spectrometers. Applied Optics 50 (2011), Sauer, S.; Körbitz, R.; Jettkant, B.; Marschner, U.; Fischer, W.-J. & Clasbrummel, B.: Simulation and experimental verification of a combined femur hip prosthesis model to support early loosening detection, Biomed Tech 2011; 56 (Suppl.1). Sauer, S., Marschner, U., Fischer, W.-J.: Wireless measurement techniques for monitoring the resonance frequency change of a passive inductively coupled resonant micro sensor useable for monitoring osteosynthesis plate supported bone fracture healing. 45. DGBMT Jahrestagg. Sept Sauer, S., Marschner, U., Fischer, W.-J., Clasbrummel, B.: Simulation and experimental verification of a combined femur hip prosthesis model to support early loosening detection. 45. DGBMT Jahrestagg Sept Sauer, S., Marschner, U., Fischer, W.-J.: Detection coil independent frequency domain measurements for an inductively coupled resonant magnetoelastic bending sensor. Proc. IEEE Sensors Conf., Oct , Sauer, S., Marschner, U., Adolphi, B., Fischer, W.-J.: Passive Wireless Resonant Galfenol Sensor for Osteosynthesis Plate Bending Measurement. IEEE Sensors Journal Sauer, S.: Wireless ball head integrated hip prosthesis loosening detection system. TAR 2011: 3. Conf. Technically Assisted Rehabilitation, March Starke, E., Krause, M., Pfeifer, G., Fischer, W.-J.: Applying Network Models to Improve FE- Models. Proc. SPIE 7977, Active and Passive Smart Structures and Integrated Systems V, March , San Diego, 79771Z. Starke, E., Marschner, U., Pfeifer, G., Fischer, W.-J., Flatau, A.B.: Combining Network Models and FE-Models for the Simulation of Electromechanical Systems. Proc. SPIE (2011), 79771Y. Starke, E., Marschner, U., Pfeifer, G., Fischer, W.-J.: Kombinierte Simulation elektromechanischer Systeme. Mechatronik 2011 Dresden, ,

133 Starke, E., Marschner, U., Pfeifer, G., Fischer, W.-J.: Simulation-Based Optimization of a Piezoelectric Sound Generator by Combining a Finite-Element and a Network Model. Proc. ASME Conf. Smart Materials, Adaptive Structures and Intelligent Systems, SMASIS2011, Scottsdale, Sept , Starke, E., Türke, A., Krause, M., Fischer, W.-J.: Flexible Polymer Humidity Sensor Fabricated by Inkjet Printing. 16 th Int l Conf. on Solid-State Sensors, Actuators and Microsystems. Transducers 11, Beijing, June 5-9, 2011, Strehle, S., Menzel, S., Wetzig, K., Bartha, J.W.: Microstructure of electroplated Cu(Ag) alloy thin films. Thin Solid Films 519 (2011), Strehle, S., Schmidt, D., Albert, M., Bartha, J.W.: Growth of the Initial Atomic Layers of Ta-N Films During Atomic Layer Deposition on Silicon-Based Substrates. Chemical Vapor Deposition 17 (2011), Strobel, C., Leszczynska, B., Albert, M., Bartha, J.W., Zimmermann, T., Kuske, J.: Dynamic High Rate Deposition of Microcrystalline Silicon with Very High Excitation Frequencies (80-150MHz) Using Linear Plasma Sources. Proc. 26 th Europ. Photovoltaic Solar Energy Conf. 2011, Suchaneck, G., Labitzke, R., Adolphi, B., Jastrabik, L., Kiselev, D., Kholkin, A., Gerlach, G., Dejneka, A.: Deposition of PZT thin film onto copper-coated polymer films by mean of pulsed-dc and RF-reactive sputtering. Surface & Coatings Technol. 205 (2011), Teng, L., Kirchner, R., Plötner, M., Jahn, A., He, J., Hagemann, F., Fischer, W.-J.: Fabrication of Sub-500 nm Source and Drain Electrodes for Organic Field Effect Transistors using UV Nanoimprint Lithography with Low-Cost Silicon Mold and Lift-Off Process. Proc. 3. GMM-Worksh. Mikro-Nano-Integration, , Stuttgart, GMM Fachbericht 68, Teng, L., Kirchner, R., Plötner, M., He, J., Jahn, A., Hagemann, F., Fischer, W.-J.: Fabrication and Characterization of Sub-500 nm Channel Organic Field Effect Transistor Using UV Nanoimprint Lithography With Opaque Molds. Proc. 37th Int. Conf. Micro and Nano Engineering 2011, Abstr. 24. Todt, U., Hoffmann, M., Hesse, J., Philipp, A., Törker, M., May, C., Leo, K.: The 33x33 cm² panel of the OLED100.eu project. LOPE-C Large-area Organic & Printed Electronics Conv Vasilev, B.,Rzehak, R., Bott, S., Kücher, P., Bartha, J.W.: Greenwood-Williamson Model Combining Pattern-Density and Pattern-Size Effects in CMP. IEEE-Trans. Semicond. Manufacturing 24 (2011), Wojcik, H., Kaltofen, R., Krien, C., Merkel, U., Wenzel, C., Bartha, J.W., Friedemann, M., Adolphi, B., Liske, R., Neumann, V., Geidel, M.: Investigations on Ru-Mn films as plateable Cu diffusion barriers. Proc IEEE International Interconn. Technol. Conf. & Materials for Advanced Metallization (IITC/MAM), 1-3. Wojcik, H., Kaltofen, R., Merkel, U., Krien, C., Strehle, S., Gluch, J., Knaut, M., Wenzel, C., Preusse, A., Bartha, J.W., Geidel, M., Adolphi, B., Neumann, V., Liske, R., Munnik, F.: Electrical Evaluation of Ru W(-N), Ru Ta(-N) and Ru Mn films as Cu diffusion barriers. Microelectronic Engin., 27 May 2011, avail. Online. Wojcik, H., Merkel, U., Jahn, A., Richter, K., Junige, M., Klein, C., Gluch, J., Albert, M., Munnik, F., Wenzel, C., Bartha, J.W.: Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements. Microelectr. Engin. 88 (2011), S

134 5.2 Vorträge und Poster (ohne Abdruck) / Oral Presentations and Posters Albert, M.: Überblick zur Atomic Layer Deposition (ALD) und deren Anwendung (mit Spezialisierung Optik). OTTI-Fachforum Schichtherstellungstechniken für die Präzisionsoptik, Nov. 2011, Regensburg. Bartha, J.W., Knaut, M., Junige, M., Geidel, M., Albert, M.: In situ monitoring for ALD process control. AVS 11 th International Conf. on Atomic Layer Deposition : June 26 29, 2011, Cambridge, US. Henke, T., Geidel, M., Knaut, M., Albert, M., Bartha, J.W.: Flash Light Induced Atomic Layer Deposition. Subtherm 2011 International Topical Workshop on Subsecond Thermal Processing of Advanced Materials, October 25 27, 2011, Dresden. Junige, M., Geidel, M., Knaut, M., Albert, M., Bartha, J.W.: Monitoring atomic layer deposition processes in situ and in real-time by spectroscopic ellipsometry. IEEE 2011 Semiconductor Conf. Dresden, Sept ,2011. Junige, M., Knaut, M., Geidel, M., Albert, M., Bartha, J.W.: In situ ellipsometric investigations during the ALD growth of Ru. AVS 11th International Conference on Atomic Layer Deposition : June 26 29, 2011, Cambridge, US. Junige, M., Knaut, M., Geidel, M., Riedel, S., Endler, I., Wojcik, H., Albert, M., Merkel, U., Bartha, J.W.: Characterization of ruthenium ALD and PVD thin films for in-situ process control by spectroscopic ellipsometry. 6 th Workshop Ellipsometry. Febr , 2011 Berlin. Lakner, H., Wagner, M., Schenk, H. : Photonische Mikrosysteme. Eine Schlüsseltechnologie für die industrielle Anwendung und Innovation. Keynote at: Mikrosystemtechnik-Kongress 2011, Okt., Darmstadt. Wojcik, H., Lehninger, D., Neumann, V., Bartha, J.W.: Characterization of barrier and seed layer integrity for copper interconnects. Semiconductor Conf. Dresden (SCD), Sept Wojcik, H., Merkel, U., Bartha, J.W., Lehninger, D., H.-J. Engelmann, Popatov, P., Gluch, B., Adolphi, B., Neumann, V., Liske, Knaut, M., Wenzel, C.: Comparison of PVD, PECVD and PEALD Ru-TaN films with high Ru concentration for direct Cu plating. AMC, Material Research Society. Oct. 4-6, 2011, San Diego. 132

135 5.3 Patente / Patents Kirchner, R., Fischer, W.-J.: Verfahren und Vorrichtung zur Herstellung von Nanoimprinttemplates, DE A1. Kirchner, R., Plötner, M., Fischer, W.-J.: Imprinttemplate, Nanoimprintvorrichtung und Nanostrukturierungsverfahren, DE A1. Kirchner, R., Plötner, M., Fischer, W.-J.: Imprint Template, Nanoimprint Device and Nanostructuring Method, US 2011/ A1. 133

136 6. Mitarbeit in Gremien / Cooperation in Commitees AMA Fachverband für Sensorik e.v. Prof. Fischer: institutionell CATRENE Scientific Committee Prof. Lakner: Chairman Scientific Committee Deutsche Forschungsgemeinschaft Prof. Bartha: Mitglied des Fachkollegiums Elektrotechnik Dresdner Materialforschungsverband e.v. Prof. Fischer: Mitglied des Vorstandes Europäische Fördergemeinschaft Dünne Schichten Dr. Wenzel: Mitglied Fördergemeinschaft "Dünne Schichten" e.v. Dr. Wenzel: institutionell KOMINAS (Kommission Mikro-/ Nanotechnologie Sachsen) im Auftrag des Freistaats Sachsen Prof. Lakner: Mitglied Prof. Mikolajick: Mitglied Silicon Saxony e.v. Prof. Bartha: Gründungsmitglied und Vertreter der TUD Prof. Bartha: Leiter AK F&E Prof. Lakner: Sprecher und Mitglied des wissenschaftlichen Beirats Verein zur Förderung europäischer und internationaler wissenschaftlicher Zusammenarbeit e. V., Brüssel/Bonn Prof. em. Drescher: Mitglied des Beirats Vereinigung der ehemaligen Stipendiatinnen und Stipendiaten der Kulturstiftung Dresden der Dresdner Bank Dr. Marschner: Mitglied Fakultätsrat der TUD Prof. Bartha: Mitglied Bibliothekskommission der TUD Prof. Bartha: Mitglied Carinthian Tech Research CTR AG in Villach (AT) Prof. Lakner: Mitglied des Aufsichtsrats Fraunhofer Verbund Mikroelektronik Prof. Lakner: Vorsitzender Prof. Fischer: Leiter Business Development Team "Medical Engineering" Fraunhofer Gesellschaft Prof. Lakner: Mitglied des Präsidiums Nano Materials Lab ggmbh Prof. Bartha: Mitglied Wissensch. Beirat SIB Innovations- und Beteiligungsgesellschaft mbh Prof. Bartha: Beiratsmitglied 134

137 ASME Aerospace Division - Adaptive Structures and Material Systems Technical Committee Dr. Marschner: Committee Member DTIP: Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS Dr. Marschner: Committee Member IOP Science Biomedical Materials Dr. Marschner: Gutachter IOP Science Smart Materials and Structures Dr. Marschner: Gutachter International Nanotechnology Conference on Communication and Cooperation Prof. Lakner: Komitee-Mitglied Journal of the Electrochemical Society Prof. Bartha:: Gutachter Mikrosystemtechnik Kongress Prof. Lakner: Mitglied des Programmkomitees SID Mid Europe Chapter Spring Meeting Prof. Lakner: Conference Chair Sensors and Actuators Prof. Fischer: Gutachter Dr. Marschner: Gutachter 135

138 Impressum Herausgeber: Redaktion: Umschlaggestaltung: Technische Universität Dresden Institut für Halbleiter- und Mikrosystemtechnik Dr. M. Plötner, Dr. Ch. Wenzel Universitätsmarketing Gesamtherstellung: 136

139

140 Maria-Reiche-Str Dresden F r a u n h o f e r I n s t i t u t f ü r p h o t o n i s c h e m i k r o s y s t e m e Anne-Kathrin Hauptmann +49 (0) info@ipms.fraunhofer.de Erfinden Sie die Zukunft mit uns! ASIC Design Photophysik Mikroelektronik Halbleiterphysik Mikrosystemtechnik Optoelektronik Angewandte Informatik Technische Optik

Interconnect-Simulation. E. Bär (Fraunhofer IISB) Kolloquium zur Halbleitertechnologie und Messtechnik, Fraunhofer IISB, 12.

Interconnect-Simulation. E. Bär (Fraunhofer IISB) Kolloquium zur Halbleitertechnologie und Messtechnik, Fraunhofer IISB, 12. Interconnect-Simulation E. Bär () zur Halbleitertechnologie und Messtechnik,, Gliederung Einführung Simulation des Ätzens - Oxid-Ätzen in C 2 F 6 - Sputter-Ätzen Abscheidesimulation - Plasmagestützte chemische

Mehr

1 Metallisierung. 1.1 Kupfertechnologie Kupfertechnologie. 1.1 Kupfertechnologie

1 Metallisierung. 1.1 Kupfertechnologie Kupfertechnologie. 1.1 Kupfertechnologie 1 Metallisierung 1.1 Kupfertechnologie 1.1.1 Kupfertechnologie Ab einer Strukturgröße von weniger als 250nm erfüllt Aluminium auch mit Kupferanteilen kaum noch die benötigten Anforderungen zur Verwendung

Mehr

Untersuchung metallischer Kontakte auf porösen Siliziumstrukturen

Untersuchung metallischer Kontakte auf porösen Siliziumstrukturen Institut für Nano- und Mikroelektronische Systeme Prof. Dr.-Ing. J. N. Burghartz Institut für Mikroelektronik Stuttgart Prof. Dr.-Ing. J. N. Burghartz Untersuchung metallischer Kontakte auf porösen Siliziumstrukturen

Mehr

Fachgebiet Festkörperelektronik kom. Leitung: Dr. Susanne Scheinert

Fachgebiet Festkörperelektronik kom. Leitung: Dr. Susanne Scheinert Fachgebiet Festkörperelektronik kom. Leitung: Dr. Susanne Scheinert Arbeitsgruppen: HF- und Nanobauelemente Leitung: PD Dr. Frank Schwierz Leistungsbauelemente und Smart Power IC Leitung: PD Dr. Reinhard

Mehr

J A H R E S B E R I C H T 2012

J A H R E S B E R I C H T 2012 J A H R E S B E R I C H T 2012 ANNUAL REPORT 2012 Institut für Halbleiter- und Mikrosystemtechnik der Technischen Universität Dresden Semiconductor and Microsystems Technology Laboratory Dresden University

Mehr

Labor für Mikrosystemtechnik Fakultät 6

Labor für Mikrosystemtechnik Fakultät 6 Fakultät 6 Prof. Dr.-Ing. Christina Schindler, Michael Kaiser (M.Sc. FH) Übersicht Lithographie Dünnschichttechnik Messtechnik Beispiele Titel Präsentation wieholt (Ansicht >Folienmaster) Prof. Dr.-Ing.

Mehr

Labor für Mikrosystemtechnik Fakultät für angewandte Naturwissenschaften und Mechatronik

Labor für Mikrosystemtechnik Fakultät für angewandte Naturwissenschaften und Mechatronik Fakultät angewandte Naturwissenschaften und Mechatronik Prof. Dr.-Ing. Christina Schindler Übersicht Praktika Projektstudien Bachelor- und Masterarbeiten Dissertation Titel Präsentation wieholt (Ansicht

Mehr

Oberflächenanalyse mittels GDOS - Messung an gewölbten Proben

Oberflächenanalyse mittels GDOS - Messung an gewölbten Proben Oberflächenanalyse mittels GDOS - Messung an gewölbten Proben Einleitung Die Analyse der Oberflächen von Werkstoffen und Bauteilen gewinnt aufgrund der steigenden Oberflächenveredelung zunehmend an Bedeutung.

Mehr

Experimentelle Konzeptionierung einer RFA- Einheit auf Basis des pyroelektrischen Effekts

Experimentelle Konzeptionierung einer RFA- Einheit auf Basis des pyroelektrischen Effekts MDZWP Forschungsseminar 13.03.2018 Experimentelle Konzeptionierung einer RFA- Einheit auf Basis des pyroelektrischen Effekts K. Harnisch, M. Wilke, Dr. W. Knapp, Prof. Dr.-Ing. habil. T. Halle M.Sc. Karsten

Mehr

Einsatz kleiner Sputterquellen in der Glimmlampenspektrometrie

Einsatz kleiner Sputterquellen in der Glimmlampenspektrometrie Einsatz kleiner Sputterquellen in der Glimmlampenspektrometrie Volker Hoffmann, Varvara Brackmann - IFW Dresden Michael Analytis - SPECTRUMA Leibniz Institut für Festkörper- und Werkstoffforschung Dresden

Mehr

Dünne Schichtelektroden durch Kombination von PVD- und PECVD-Verfahren

Dünne Schichtelektroden durch Kombination von PVD- und PECVD-Verfahren Dünne Schichtelektroden durch Kombination von PVD- und PECVD-Verfahren J. Meinhardt, W. Bondzio Gliederung: 1. Motivation 2. Voraussetzungen für 3D-Vertikalelektroden 3. Experimentelle Ergebnisse 4. Zusammenfassung

Mehr

Trace Analysis of Surfaces

Trace Analysis of Surfaces Trace Analysis of Surfaces Metall-Spurenanalyse auf Oberflächen mittels VPD- Verfahren Babett Viete-Wünsche 2 Das Unternehmen Unser Serviceportofolio Die VPD-Analyse 3 Das Unternehmen: 4 Einige unserer

Mehr

FRAUNHOFER-INSTITUT FÜR GRENZFLÄCHEN- UND BIOVERFAHRENSTECHNIK IGB HOCHAUFGELÖSTE ANALYSE VON OBERFLÄCHEN ÜBER KOMBINIERTE XPS UND REM

FRAUNHOFER-INSTITUT FÜR GRENZFLÄCHEN- UND BIOVERFAHRENSTECHNIK IGB HOCHAUFGELÖSTE ANALYSE VON OBERFLÄCHEN ÜBER KOMBINIERTE XPS UND REM FRAUNHOFER-INSTITUT FÜR GRENZFLÄCHEN- UND BIOVERFAHRENSTECHNIK IGB HOCHAUFGELÖSTE ANALYSE VON OBERFLÄCHEN ÜBER KOMBINIERTE XPS UND REM 2 OBERFLÄCHENANALYTIK FÜR MATERIALENTWICKLUNG UND SCHADENSANALYTIK

Mehr

Höchste Effizienz durch dünnste Schichten als Schutz von elektronischen Schaltungen unter harschen Umgebungen

Höchste Effizienz durch dünnste Schichten als Schutz von elektronischen Schaltungen unter harschen Umgebungen Höchste Effizienz durch dünnste Schichten als Schutz von elektronischen Schaltungen unter harschen Umgebungen Prof. Dr. Volker Bucher, HFU und Steinbeis-Transferzentrum Oberflächen- und Beschichtungstechnik

Mehr

Moderne Oberflächentechnologie im Jahr 1900

Moderne Oberflächentechnologie im Jahr 1900 Moderne Oberflächentechnologie im Jahr 1900 Was ist PLASMA? Jeder kennt Plasma von: Kerzenflammen Blitze beim Gewitter Unsere Sonne Xenon Autoscheinwerfer Was ist PLASMA? Ionisiertes Gas mit den Bestandteilen:

Mehr

Kapitel 4.5. Nanoporöses Alumimiumoxid (AAO*)

Kapitel 4.5. Nanoporöses Alumimiumoxid (AAO*) Kapitel 4.5. Nanoporöses Alumimiumoxid (AAO*) Prozessfolge Am Beispiel: Metallische Nanodrähte in AAO 1. Herstellung von Templaten mit Nanoporen in Aluminiumoxid durch nasschemisches Ätzen mit äußerer

Mehr

Norbert Koch. Polymer gegen Silizium: Wer wird in der Elektronik gewinnen?

Norbert Koch. Polymer gegen Silizium: Wer wird in der Elektronik gewinnen? Polymer gegen Silizium: Wer wird in der Elektronik gewinnen? Norbert Koch Humboldt Universität zu Berlin, Institut für Physik & IRIS Adlershof Helmholtz Zentrum Berlin für Materialien und Energie GmbH

Mehr

Grundlagen integrierter Schaltungen

Grundlagen integrierter Schaltungen Jan Albers Grundlagen integrierter Schaltungen Bauelemente und Mikrostmkturiemng 2., aktualisierte Auflage.. ; ; -''''V 1 '. 1 Mit 142 Bildern f Fachbuchverlag Leipzig J_, im Carl Hanser Verlag 4- Inhaltsverzeichnis

Mehr

Herstellung und Charakterisierung von transparenten, elektrisch leitfähigen TiO 2 :Nb-Dünnschichten durch Gleichstrom- und Puls- Magnetron-Sputtern

Herstellung und Charakterisierung von transparenten, elektrisch leitfähigen TiO 2 :Nb-Dünnschichten durch Gleichstrom- und Puls- Magnetron-Sputtern Herstellung und Charakterisierung von transparenten, elektrisch leitfähigen TiO 2 :Nb-Dünnschichten durch Gleichstrom- und Puls- Magnetron-Sputtern Manuela Junghähnel Universitätsverlag Ilmenau 2012 Impressum

Mehr

Reine Oberflächen und Reinraumtauglichkeit. Egon Hollaender

Reine Oberflächen und Reinraumtauglichkeit. Egon Hollaender Reine Oberflächen und Reinraumtauglichkeit Einführung Egon Hollaender 1 Inhaltsverzeichnis 1. Einleitung: Was ist eine Oberfläche? Was ist eine reine Oberfläche? - Neue Normen für die Spezifikation der

Mehr

Margarita Günther. Anwendung polymerer Funktionsschichten in piezoresistiven chemischen und Feuchtesensoren. TUÜpress

Margarita Günther. Anwendung polymerer Funktionsschichten in piezoresistiven chemischen und Feuchtesensoren. TUÜpress Margarita Günther Anwendung polymerer Funktionsschichten in piezoresistiven chemischen und Feuchtesensoren TUÜpress 2009 Inhaltsverzeichnis Vorwort des Herausgebers Vorwort Abstract Formelzeichen, Indizes

Mehr

4. Nanostrukturierte Elektroden

4. Nanostrukturierte Elektroden 4. Nanostrukturierte Elektroden Vorstellung des Instituts Forschungsthemen und Methoden Ziele Prof. J. Bachmann, FAU Erlangen Nürnberg Folie 0/125 23/11/2012 Standort Erlangen in der Material und Energieforschung

Mehr

FRAUNHOFER-INSTITUT FÜR SCHICHT- UND OBERFLÄCHENTECHNIK IST OPTISCHE FUNKTIONSSCHICHTEN

FRAUNHOFER-INSTITUT FÜR SCHICHT- UND OBERFLÄCHENTECHNIK IST OPTISCHE FUNKTIONSSCHICHTEN FRAUNHOFER-INSTITUT FÜR SCHICHT- UND OBERFLÄCHENTECHNIK IST OPTISCHE FUNKTIONSSCHICHTEN OPTISCHE FUNKTIONSSCHICHTEN AM FRAUNHOFER IST Die Entwicklung optischer Funktionsschichten und der zugehörigen Beschichtungsprozesse

Mehr

Optimierung der Analytik nanostrukturierter Schichten

Optimierung der Analytik nanostrukturierter Schichten Abschließende Ergebnisse im Projektverbund Umweltverträgliche Anwendungen der Nanotechnologie 3rd International Congress Next Generation Solar Energy Meets Nanotechnology 23-25 November 2016, Erlangen

Mehr

Institut für Festkörperphysik

Institut für Festkörperphysik Institut für Festkörperphysik Atomare und Molekulare Strukturen Prof. Dr. Herbert Pfnür Prof. Dr. Christoph Tegenkamp Prof. Dr. Fei Ding Nanostrukturen Prof. Dr. Rolf Haug Prof. Michael Oestreich Photovoltaik

Mehr

3D Konforme Präzisionsbeschichtungen für den Korrosionsschutz effektive Werkzeugbeschichtungen

3D Konforme Präzisionsbeschichtungen für den Korrosionsschutz effektive Werkzeugbeschichtungen 3D Konforme Präzisionsbeschichtungen für den Korrosionsschutz effektive Werkzeugbeschichtungen Gliederung Motivation Prozesstechnologie Schichtwerkstoffe Schichtaufbau Eigenschaften Entformungsverhalten

Mehr

QUANTITATIVE ANALYSE VON KONTAMINATIONEN AUF HALBLEITER- MATERIALIEN

QUANTITATIVE ANALYSE VON KONTAMINATIONEN AUF HALBLEITER- MATERIALIEN QUANTITATIVE ANALYSE VON KONTAMINATIONEN AUF HALBLEITER- MATERIALIEN AOFA 2016, Soest 6. September 2016 Sylke Meyer,, Sebastian Timmel Fraunhofer-Center für Silizium-Photovoltaik CSP Otto-Eißfeldt-Str.

Mehr

1 Metallisierung. 1.1 Mehrlagenverdrahtung Mehrlagenverdrahtung BPSG-Reflow. 1.1 Mehrlagenverdrahtung

1 Metallisierung. 1.1 Mehrlagenverdrahtung Mehrlagenverdrahtung BPSG-Reflow. 1.1 Mehrlagenverdrahtung 1 Metallisierung 1.1 Mehrlagenverdrahtung 1.1.1 Mehrlagenverdrahtung Die Verdrahtung kann in einer integrierten Schaltung über 80 % der Chipfläche einnehmen, darum wurden Techniken entwickelt, mit denen

Mehr

Ines Dani Fraunhofer Institut für Werkstoff- und Strahltechnik (IWS) Dresden Abteilung CVD Technologie / Arbeitsgruppe Atmosphärendruck-PECVD

Ines Dani Fraunhofer Institut für Werkstoff- und Strahltechnik (IWS) Dresden Abteilung CVD Technologie / Arbeitsgruppe Atmosphärendruck-PECVD Atmosphärendruck-PECVD zur Großflächenbeschichtung Fraunhofer IWS Ines Dani Fraunhofer Institut für Werkstoff- und Strahltechnik (IWS) Dresden Abteilung CVD Technologie / Arbeitsgruppe Atmosphärendruck-PECVD

Mehr

Inhaltsverzeichnis. Jan Albers. Grundlagen integrierter Schaltungen. Bauelemente und Mikrostrukturierung ISBN:

Inhaltsverzeichnis. Jan Albers. Grundlagen integrierter Schaltungen. Bauelemente und Mikrostrukturierung ISBN: Jan Albers Grundlagen integrierter Schaltungen Bauelemente und Mikrostrukturierung ISBN: 978-3-446-42232-2 Weitere Informationen oder Bestellungen unter http://www.hanser.de/978-3-446-42232-2 sowie im

Mehr

Die Herstellung von Mikrostrukturen mittels selektiver Laserablation mit ultrakurzen Laserpulsen und deep-uv Strahlquellen

Die Herstellung von Mikrostrukturen mittels selektiver Laserablation mit ultrakurzen Laserpulsen und deep-uv Strahlquellen Die Herstellung von Mikrostrukturen mittels selektiver Laserablation mit ultrakurzen Laserpulsen und deep-uv Strahlquellen Dr. Sandra Stroj DOMIT 8/6/2010 Laserquellen am FZMT Excimerlaser Ultrakurzpulslaser

Mehr

Dünnfilmtechnische und mikro-mechanische Fertigungstechniken zur Herstellung von Mikro- und Nanobauteilen

Dünnfilmtechnische und mikro-mechanische Fertigungstechniken zur Herstellung von Mikro- und Nanobauteilen NanoDay H.H. Gatzen und C. Ruffert 06. Oktober 2005 Dünnfilmtechnische und mikro-mechanische Fertigungstechniken zur Herstellung von Mikro- und Nanobauteilen Übersicht 4 Fertigungstechniken am imt zur

Mehr

Typische Eigenschaften von Metallen

Typische Eigenschaften von Metallen Typische Eigenschaften von Metallen hohe elektrische Leitfähigkeit (nimmt mit steigender Temperatur ab) hohe Wärmeleitfähigkeit leichte Verformbarkeit metallischer Glanz Elektronengas-Modell eines Metalls

Mehr

Peter Iskra (Autor) Entwicklung von siliziumbasierten Transistoren für den Einsatz bei hohen Temperaturen in der Gassensorik

Peter Iskra (Autor) Entwicklung von siliziumbasierten Transistoren für den Einsatz bei hohen Temperaturen in der Gassensorik Peter Iskra (Autor) Entwicklung von siliziumbasierten Transistoren für den Einsatz bei hohen Temperaturen in der Gassensorik https://cuvillier.de/de/shop/publications/89 Copyright: Cuvillier Verlag, Inhaberin

Mehr

Erforschung der großflächigen Herstellung von GMR- Sensoren auf Polymer-Oberflächen (PLuG)

Erforschung der großflächigen Herstellung von GMR- Sensoren auf Polymer-Oberflächen (PLuG) Erforschung der großflächigen Herstellung von GMR- Sensoren auf Polymer-Oberflächen (PLuG) Dr. Michael Melzer Leibniz Institut für Festkörper und Werkstoffforschung Dresden (IFW), Dresden Dr. Matthias

Mehr

Quanteneffekte in Nanostrukturen

Quanteneffekte in Nanostrukturen Quanteneffekte in Nanostrukturen Physik Oscar 2001 Thomas Berer 04.04.2002 Nanostrukturen nano Physik Oscar 2001 griech.: Zwerg Prefix: 10-9 1nm = 1 Milliardstel Meter Nanostrukturen Strukturen zwischen

Mehr

In situ SEM as a tool for investigating micro and nanoscale processes in materials research

In situ SEM as a tool for investigating micro and nanoscale processes in materials research In situ SEM as a tool for investigating micro and nanoscale processes in materials research Reiner Mönig Institut for Materials Research II Electrical Mechanical Heating Electrochemical Experiments Projektbüro

Mehr

Übersicht über die Vorlesung Solarenergie

Übersicht über die Vorlesung Solarenergie Übersicht über die Vorlesung Solarenergie 6.1 1. Einleitung 2. Die Sonne als Energiequelle 3. Halbleiterphysikalische Grundlagen 4. Kristalline pn-solarzellen 5. Elektrische Eigenschaften 6. Optimierung

Mehr

Übersicht. 6. Lithographie: 1. Optische Lithographie. 2. e-beam / AFM /STM. 3. Röntgen. 4. EUV (soft X-ray) 5. Imprint Technologie B6.

Übersicht. 6. Lithographie: 1. Optische Lithographie. 2. e-beam / AFM /STM. 3. Röntgen. 4. EUV (soft X-ray) 5. Imprint Technologie B6. Übersicht 6. Lithographie: 1. Optische Lithographie 2. e-beam / AFM /STM 3. Röntgen 4. EUV (soft X-ray) 5. Imprint Technologie Prof. Dr. H. Baumgärtner B6.4-1 Die Extrem UV Lithographie ist eine Weiterentwicklung

Mehr

Elektrochemisch hergestellte Iridium-Schichten und deren Anwendungspotenziale

Elektrochemisch hergestellte Iridium-Schichten und deren Anwendungspotenziale DIE HOCHSCHULE MIT 140JÄHRIGER TRADITION Elektrochemisch hergestellte Iridium-Schichten und deren Anwendungspotenziale Forum F&T Arena Hannover Messe 2013 Prof. Dr. rer. nat. F. Köster Dipl.-Ing (FH) Ch.

Mehr

Übersicht über die Vorlesung

Übersicht über die Vorlesung Übersicht über die Vorlesung 4.1 I. Einleitung II. Physikalische Grundlagen der Optoelektronik III. Herstellungstechnologien III.1 Epitaxie III.2 Halbleiterquantenstrukturen III.3 Prozessierung IV. Halbleiterleuchtdioden

Mehr

Mikrosystemtechnische Ansätze für kleinste Spektralanalysesystem im NIR

Mikrosystemtechnische Ansätze für kleinste Spektralanalysesystem im NIR Mikrosystemtechnische Ansätze für kleinste Spektralanalysesystem im NIR Dr. Heinrich Grüger Geschäftsfeldleiter Sensor- und Aktuatorsysteme Fraunhofer IPMS, Dresden SPECTARIS Wissensraum-Seminar Mobile

Mehr

Nanotubes. Bauelemente für eine neue Nanoelektronik. Moritz Bubek

Nanotubes. Bauelemente für eine neue Nanoelektronik. Moritz Bubek Nanotubes Bauelemente für eine neue Nanoelektronik Moritz Bubek Übersicht Struktur von Nanotubes Defekte an Nanotubes klassischer Schottky-Effekt Elektrische Eigenschaften von SWNTs SWNT-Schottky-Diode

Mehr

Inhaltsverzeichnis. Hansgeorg Hofmann, Jürgen Spindler. Werkstoffe in der Elektrotechnik

Inhaltsverzeichnis. Hansgeorg Hofmann, Jürgen Spindler. Werkstoffe in der Elektrotechnik sverzeichnis Hansgeorg Hofmann, Jürgen Spindler Werkstoffe in der Elektrotechnik Grundlagen - Struktur - Eigenschaften - Prüfung - Anwendung - Technologie ISBN (Buch): 978-3-446-43220-8 ISBN (E-Book):

Mehr

Supraleitende Quantenschaltungen (II): Herstellung in Dünnschichttechnik

Supraleitende Quantenschaltungen (II): Herstellung in Dünnschichttechnik Supraleitende Quantenschaltungen (II): Herstellung in Dünnschichttechnik R. Dolata Physikalisch-Technische Bundesanstalt Bundesallee 100 38116 Braunschweig 3. Braunschweiger SUPRALEITER Seminar 26.05.2008

Mehr

Nanostrukturierte thermoelektrische Materialien

Nanostrukturierte thermoelektrische Materialien Abschließende Ergebnisse im Projektverbund Umweltverträgliche Anwendungen der Nanotechnologie 3rd International Congress Next Generation Solar Energy Meets Nanotechnology 23-25 November 2016, Erlangen

Mehr

Newest Generation of the BS2 Corrosion/Warning and Measurement System

Newest Generation of the BS2 Corrosion/Warning and Measurement System Newest Generation of the BS2 Corrosion/Warning and Measurement System BS2 System Description: BS2 CorroDec 2G is a cable and energyless system module range for detecting corrosion, humidity and prevailing

Mehr

Herstellung, Eigenschaften und Anwendungen technischer Schichten auf textilen Trägern

Herstellung, Eigenschaften und Anwendungen technischer Schichten auf textilen Trägern Herstellung, Eigenschaften und Anwendungen technischer Schichten auf textilen Trägern Gudrun Andrä Jonathan Plentz, Guobin Jia, Annett Gawlik, Gabriele Schmidl, K. Richter* LeibnizInstitut für Photonische

Mehr

Skript_Analogelektronik_Kap_0_Einführung: (noch unvollständig)

Skript_Analogelektronik_Kap_0_Einführung: (noch unvollständig) Skript_Analogelektronik_Kap_0_Einführung: (noch unvollständig) 0. Einführung 0.1. Vorbemerkungen 0.2. Kenntnissen und Methoden a) Mathematische Gesetze und Methoden (siehe Skript web_2010_elektronik_script_grundlagen.pdf

Mehr

Reaktionslote in der Elektronik Erfahrungen und Potentiale

Reaktionslote in der Elektronik Erfahrungen und Potentiale Reaktionslote in der Elektronik Erfahrungen und Potentiale Prof., Universität Rostock, Speziallotpaste, Freiberg Prof., Fraunhofer IZM, Berlin Dr., TU Dresden 1 Lehrstuhl Zuverlässigkeit und Sicherheit

Mehr

Hahn-Meitner-Institut Berlin

Hahn-Meitner-Institut Berlin Nickel-induzierte schnelle Kristallisation reaktiv gesputterter Wolframdisulfid-Schichten Stephan Brunken, Rainald Mientus, Klaus Ellmer Hahn-Meitner-Institut Berlin Abteilung Solare Energetik (SE 5) Arbeitsgruppe

Mehr

GD-OES Kalibrationen für die Analyse dünner Schichten in der siliziumbasierten Photovoltaik: Herausforderungen und Lösungen

GD-OES Kalibrationen für die Analyse dünner Schichten in der siliziumbasierten Photovoltaik: Herausforderungen und Lösungen GD-OES Kalibrationen für die Analyse dünner Schichten in der siliziumbasierten Photovoltaik: Herausforderungen und Lösungen Jonathan Steffens Anwendertreffen Analytische Glimmentladungsspektrometrie, Bremen,

Mehr

Precursoren zur Plasmajetbeschichtung

Precursoren zur Plasmajetbeschichtung Precursoren zur Plasmajetbeschichtung Manuela Janietz, Thomas Arnold, Leipzig 1 Gliederung Literaturübersicht Versuchsaufbau Precursoren und Schichtcharakterisierung -SiO 2 -ah-cn x Zusammenfassung 2 Überblick

Mehr

Aufgabe Punkte R h bzw. R v Horizontal- bzw. Vertikalätzrate. lineares und für dickere Schichten ein sogenanntes

Aufgabe Punkte R h bzw. R v Horizontal- bzw. Vertikalätzrate. lineares und für dickere Schichten ein sogenanntes KLAUSUR Technologien und Bauelemente der Mikroelektronik 13.02.2012 Aufgabenteil Mikrotechnologien Prof. J. W. Bartha Dauer: 210 min. Aufgabe 1 2 3 4 5 6 7 Punkte 7 15 4 8 9 9 12 64 Hinweise zu den Aufgaben:

Mehr

Webinar Drahtbonden 2016

Webinar Drahtbonden 2016 Webinar Drahtbonden 2016 Würth Elektronik Circuit Board Technology 04.05.2016 Seite 1 Your Speaker Dipl.-Ing. (FH), MBA Philipp Conrad Bei Würth Elektronik CBT seit 2008 Produktmanager Drahtbonden Kooperation

Mehr

Aufbau und Charakterisierung eines O 2 -Sensors auf Basis der Laserspektroskopie für PEM-Elektrolysesysteme

Aufbau und Charakterisierung eines O 2 -Sensors auf Basis der Laserspektroskopie für PEM-Elektrolysesysteme Aufbau und Charakterisierung eines O 2 -Sensors auf Basis der Laserspektroskopie für PEM-Elektrolysesysteme Aversumergebnis 2009 Sebastian Rau 1, Benjamin Scherer 2 1 Fraunhofer-Institut für Solare Energiesysteme

Mehr

Kathodenzerstäubung bei Atmosphärendruck

Kathodenzerstäubung bei Atmosphärendruck Kathodenzerstäubung bei Atmosphärendruck Roland Gesche 1, Horia-Eugen Porteanu 1, Frank Niehardt 1, Reinhold Kovacs 2, Joachim Scherer 2 1 Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik,

Mehr

ThyssenKrupp Steel Europe

ThyssenKrupp Steel Europe Vergleich der Glimmlampenspektrometrie mit röntgenanalytischen Methoden am Beispiel von Schicht- und Grenzflächenanalysen in der Stahlindustrie Thomas Brixius, Marcel Gosens, Juan Müller, Michael Stang,

Mehr

Eigenschaften von Halbleitern mit der Fourier-Transform-Infrarot-Spektroskopie

Eigenschaften von Halbleitern mit der Fourier-Transform-Infrarot-Spektroskopie D Eigenschaften von Halbleitern mit der Fourier-Transform-Infrarot-Spektroskopie Wissenschaftliche Arbeit im Fach Physik Eingereicht von Carsten Bundesmann Universität Leipzig Fakultät für Physik und Geowissenschaften

Mehr

Von der Keramik zur Schaltung

Von der Keramik zur Schaltung Von der Keramik zur Schaltung Dr.. Jürgen Schulz-Harder Harder,, curamik electronics gmbh j.schulz schulz-harder@curamik.de Kooperationsforum Leiterplattentechnologie Trends-Strategien-Innovationen 25.01.2005,

Mehr

S. Seeger, M. Weise, J. Reck, K. Ellmer, R. Mientus

S. Seeger, M. Weise, J. Reck, K. Ellmer, R. Mientus Optische Eigenschaften reaktiv magnetron gesputterter, Quasi zwei-dimensionaler, dünner, [001] texturierter WS 2 -Schichten S. Seeger, M. Weise, J. Reck, K. Ellmer, R. Mientus Optische Eigenschaften dünner

Mehr

Immer flexibel bleiben! Die- & Drahtbonden auf Flex-Materialien. Dresden,

Immer flexibel bleiben! Die- & Drahtbonden auf Flex-Materialien. Dresden, Fakultät Elektrotechnik und Informationstechnik Institut für Aufbau- und Verbindungstechnik der Elektronik Immer flexibel bleiben! Die- & Drahtbonden auf Flex-Materialien Dresden, 30.09.2016 Inhalt 1 Motivation

Mehr

Sputtern von aluminium dotierten Zinkoxid -Schichten mit dem Rotatable-Magnetron

Sputtern von aluminium dotierten Zinkoxid -Schichten mit dem Rotatable-Magnetron Sputtern von aluminium dotierten Zinkoxid -Schichten mit dem Rotatable-Magnetron Einleitung M. Dimer dimer.martin@ vonardenne.biz A. Köhler J. Strümpfel VON ARDENNE Anlagentechnik GmbH Transparentes und

Mehr

Berührungslose Charakterisierung von Schichtsystemen bei hohen Temperaturen zur Erfassung von infrarot-optischen und morphologischen Größen

Berührungslose Charakterisierung von Schichtsystemen bei hohen Temperaturen zur Erfassung von infrarot-optischen und morphologischen Größen Bayerisches Zentrum für Angewandte Energieforschung e.v. Berührungslose Charakterisierung von Schichtsystemen bei hohen Temperaturen zur Erfassung von infrarot-optischen und morphologischen Größen J. Manara,

Mehr

1.2. Technologie integrierter Mikrospulen mit planaren ferromagnetischen Kernen

1.2. Technologie integrierter Mikrospulen mit planaren ferromagnetischen Kernen Technologie 3 1.2. Technologie integrierter Mikrospulen mit planaren ferromagnetischen Kernen Obwohl die Technologie selbst nicht im Mittelpunkt dieser Arbeit steht, sind die sich aus der Technologie ergebenden

Mehr

Einführung in die Mikrosystemtechnik

Einführung in die Mikrosystemtechnik Gerald Gerlach Wolfram Dötzel Einführung in die Mikrosystemtechnik Ein Kursbuch für Studierende mit 169 Bildern, 49 Beispielen sowie 54 Aufgaben Fachbuchverlag Leipzig im Carl Hanser Verlag Inhaltsverzeichnis

Mehr

Formgebung mittels lokaler Plasmajet-Abscheidung von Siliziumoxid

Formgebung mittels lokaler Plasmajet-Abscheidung von Siliziumoxid Formgebung mittels lokaler Plasmajet-Abscheidung von Siliziumoxid Manuela Janietz, Georg Böhm, Thomas Arnold, Leipzig 1 Gliederung Motivation Experimenteller Aufbau Charakterisierung der Materialeigenschaften

Mehr

Additive Manufacturing (AM) als Herausforderung für die Oberflächentechnik

Additive Manufacturing (AM) als Herausforderung für die Oberflächentechnik Additive Manufacturing (AM) als Herausforderung für die Oberflächentechnik 19.Oktober 2017 A. Dietz, Fraunhofer IST, Braunschweig DLR-Wissenschaftstag 2017 Übersicht Überblick AM-Verfahren AM für Metalle

Mehr

Oberflächendiagnostik von synthetischen Polymerproben in einem Niedertemperaturplasma

Oberflächendiagnostik von synthetischen Polymerproben in einem Niedertemperaturplasma Oberflächendiagnostik von synthetischen Polymerproben in einem Niedertemperaturplasma Jan Schäfer, Jürgen Meichsner Mühlleithen, Sächsisches Erzgebirge 2004 Niedertemperaturplasma (RF Reaktor, Plasmarandschicht)

Mehr

Lehrbuch Mikrosystemtechnik

Lehrbuch Mikrosystemtechnik Lehrbuch Mikrosystemtechnik Anwendungen,Grundlagen,Materialien und Herstellung von Mikrosysteinen von Norbert Schwesinger, Carolin Dehne und Frederic Adler Oldenbourg Verlag München Inhalt 1 Einführung

Mehr

TCO-Schichten für die CIGS- Solarmodulproduktion

TCO-Schichten für die CIGS- Solarmodulproduktion TCO-Schichten für die CIGS- Solarmodulproduktion Die Firma Würth Solar GmbH & Co. KG hat im Jahre 2000 eine Pilotfertigung für CIGS-Dünnschichtsolarzellen in Betrieb genommen. Diese Linie mit einer maximalen

Mehr

Sauerstoffzuleitung Widerstandsheizung Quarzrohr Wafer in Carrier. Bubblergefäß mit Wasser (~95 C) Abb. 1.1: Darstellung eines Oxidationsofens

Sauerstoffzuleitung Widerstandsheizung Quarzrohr Wafer in Carrier. Bubblergefäß mit Wasser (~95 C) Abb. 1.1: Darstellung eines Oxidationsofens 1 Oxidation 1.1 Erzeugung von Oxidschichten 1.1.1 Thermische Oxidation Bei der thermischen Oxidation werden die Siliciumwafer bei ca. 1000 C in einem Oxidationsofen oxidiert. Dieser Ofen besteht im Wesentlichen

Mehr

Werkstoffe in der Elektrotechnik

Werkstoffe in der Elektrotechnik Werkstoffe in der Elektrotechnik Grundlagen - Aufbau - Eigenschaften Prüfung - Anwendung - Technologie von Hansgeorg Hofmann und Jürgen Spindler begründet von Hans Fischerf 6., neu bearbeitete Auflage

Mehr

Ein Bild sagt mehr als 1000 Spektren Bildgebende IR- und Raman-Mikroskopie

Ein Bild sagt mehr als 1000 Spektren Bildgebende IR- und Raman-Mikroskopie Ein Bild sagt mehr als 1000 Spektren Bildgebende IR- und Raman-Mikroskopie Peter Wilhelm, Boril S. Chernev FELMI, TU Graz, und ZFE Graz Workshop Mikroskopie von Polymeren und Verbundwerkstoffen 2. Februar

Mehr

FRAUNHOFER-INSTITUT Für

FRAUNHOFER-INSTITUT Für FRAUNHOFER-INSTITUT Für schicht- und oberflächentechnik IST analytik und qualitätssicherung analytik und qualitätssicherung Analytik und Prüftechnik am Fraunhofer IST Dünne und präzise Schichten gewinnen

Mehr

Detektoren in der Kern- und Teilchenphysik Szintillationsdetektoren Ionisationsdetektoren Halbleiterdetektoren

Detektoren in der Kern- und Teilchenphysik Szintillationsdetektoren Ionisationsdetektoren Halbleiterdetektoren Wechselwirkung geladener Teilchen in Materie Physik VI Sommersemester 2008 Detektoren in der Kern- und Teilchenphysik Szintillationsdetektoren Ionisationsdetektoren Halbleiterdetektoren Szintillationsdetektoren

Mehr

Anlage zur Akkreditierungsurkunde D-PL nach DIN EN ISO/IEC 17025:2005

Anlage zur Akkreditierungsurkunde D-PL nach DIN EN ISO/IEC 17025:2005 Deutsche Akkreditierungsstelle GmbH Anlage zur Akkreditierungsurkunde D-PL-11075-03-00 nach DIN EN ISO/IEC 17025:2005 Gültigkeitsdauer: 05.05.2017 bis 04.05.2022 Ausstellungsdatum: 05.05.2017 Urkundeninhaber:

Mehr

ELEKTRONENMIKROSKOPIE

ELEKTRONENMIKROSKOPIE FRAUNHOFER-INSTITUT FÜR PRODUKTIONSTECHNIK UND AUTOMATISIERUNG IPA ELEKTRONENMIKROSKOPIE MODERNSTE OBERFLÄCHEN-, SCHICHT- UND SCHADENSANALYSE MIT REM, FIB, EDX, STEM 1 METHODE Die Elektronenmikroskopie

Mehr

Nichtflüchtige SRAM Speicher eine innovative Lösung für verlustleistungsarme autonome Sensorsysteme. Andreas Scade

Nichtflüchtige SRAM Speicher eine innovative Lösung für verlustleistungsarme autonome Sensorsysteme. Andreas Scade Nichtflüchtige SRAM Speicher eine innovative Lösung für verlustleistungsarme autonome Sensorsysteme Andreas Scade Inhalt 1. Was ist ein nvsram 2. Unterschied nvsram / Flash / EEPROM 3. nvsram Programmierung

Mehr

Entspiegelung von PMMA durch einen Plasma-Ionenprozess

Entspiegelung von PMMA durch einen Plasma-Ionenprozess Entspiegelung von PMMA durch einen Plasma-Ionenprozess Antje Kaless, Ulrike Schulz, Norbert Kaiser Workshop Oberflächentechnologie mit Plasma-und Ionenstrahlprozessen 16.03.2005 Inhalt Einleitung Verfahren

Mehr

Metallische Verunreinigungen in Hocheffizienten Cu(In,Ga)Se 2 Solarzellen

Metallische Verunreinigungen in Hocheffizienten Cu(In,Ga)Se 2 Solarzellen Ilmenau - bruar 2005 Metallische Verunreinigungen in Hocheffizienten Cu(In,Ga)Se 2 Solarzellen Philip Jackson, Gerhard Bilger, Peter Grabitz, Andreas Strohm Institut für Physikalische Elektronik, Stuttgart

Mehr

Fraunhofer IWS. Atmosphärendruck-Mikrowellen-PECVD zur Abscheidung von SiO 2 - Schichten. Mikrowellen-PECVD bei Atmosphärendruck

Fraunhofer IWS. Atmosphärendruck-Mikrowellen-PECVD zur Abscheidung von SiO 2 - Schichten. Mikrowellen-PECVD bei Atmosphärendruck Mikrwellen-PECVD bei Atmsphärendruck Atmsphärendruck-Mikrwellen-PECVD zur Abscheidung vn SiO - Schichten Fraunhfer IWS Mtivatin Experimenteller Aufbau SiO Schichteigenschaften Zusammenfassung Ines Dani

Mehr

Farb- und Mehrkanalsensoren in erweiterter CMOS-Technologie

Farb- und Mehrkanalsensoren in erweiterter CMOS-Technologie Farb- und Mehrkanalsensoren in erweiterter CMOS-Technologie Fraunhofer-Institut für Integrierte Schaltungen IIS Am Wolfsmantel 33, 91058 Erlangen Stephan Junger, Nanko Verwaal, Wladimir Tschekalinskij,

Mehr

TECHNISCHE UNIVERSITÄT BERGAKADEMIE FREIBERG. Versuch: Elektrische Leitfähigkeit (Sekundarstufe I) Moduli: Physikalische Eigenschaften

TECHNISCHE UNIVERSITÄT BERGAKADEMIE FREIBERG. Versuch: Elektrische Leitfähigkeit (Sekundarstufe I) Moduli: Physikalische Eigenschaften TECHNISCHE UNIVERSITÄT BERGAKADEMIE FREIBERG Schülerlabor Science meets School Werkstoffe & Technologien in Freiberg Versuch: (Sekundarstufe I) Moduli: Physikalische Eigenschaften 1 Versuchsziel Die Messung

Mehr

Masterstudium Technische Chemie Spezialisierungsblock Angewandte Physikalische und Analytische Chemie

Masterstudium Technische Chemie Spezialisierungsblock Angewandte Physikalische und Analytische Chemie Masterstudium Technische Chemie Spezialisierungsblock Angewandte Physikalische und Analytische Chemie besonders? Defekt? CeO 2 Günther Rupprechter Der Spezialisierungsblock Angewandte Physikalische und

Mehr

Magnetronsputtern von Sulfiden für die Photovoltaik K. Ellmer, S. Seeger

Magnetronsputtern von Sulfiden für die Photovoltaik K. Ellmer, S. Seeger Magnetronsputtern von Sulfiden für die Photovoltaik K. Ellmer, S. Seeger Dünnschichtsolarzellen Anforderungen an Absorber CuInS -Absorber In S 3 -Pufferschichten MoS -Absorber Zusammenfassung/Ausblick

Mehr

Die gesamte Wertschöpfungskette

Die gesamte Wertschöpfungskette Die gesamte Wertschöpfungskette Die LOPEC bietet ein umfassendes Angebot an Themen und neuartigen Produkten. Hier ist die komplette Wertschöpfungskette gedruckter Elektronik vertreten. Alle Bereiche dieser

Mehr

Die gesamte Wertschöpfungskette

Die gesamte Wertschöpfungskette Die gesamte Wertschöpfungskette Die LOPEC bietet ein umfassendes Angebot an Themen und neuartigen Produkten. Hier ist die komplette Wertschöpfungskette gedruckter Elektronik vertreten. Alle Bereiche dieser

Mehr

ATOMLAGENABSCHEIDUNG (ALD) FÜR FUNKTIONALE SCHICHTEN AUF TEXTILEN STRUKTUREN

ATOMLAGENABSCHEIDUNG (ALD) FÜR FUNKTIONALE SCHICHTEN AUF TEXTILEN STRUKTUREN ATOMLAGENABSCHEIDUNG (ALD) FÜR FUNKTIONALE SCHICHTEN AUF TEXTILEN STRUKTUREN Mario Krug SmartTex-Workshop, Weimar 13.10.2016 INHALT 1. Einleitung 2. Was ist ALD? 3. Beschichtungsmaterialien 4. Beispiel:

Mehr

Einführung in die Mikrosystemtechnik

Einführung in die Mikrosystemtechnik Einführung in die Mikrosystemtechnik Ein Kursbuch für Studierende von Gerald Gerlach, Wolfram Dötzel 1. Auflage Hanser München 2006 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 446 22558 9 Zu Leseprobe

Mehr

Leistungsangebot des Methodischen Kompetenzzentrums Synthese

Leistungsangebot des Methodischen Kompetenzzentrums Synthese Funktionales Strukturdesign neuer ochleistungswerkstoffe durch Atomares Design und Defekt-Engineering ADDE Leistungsangebot des Methodischen Kompetenzzentrums Synthese Methodische Schwerpunkte Nasschemische

Mehr

Periodensystem. Physik und Chemie. Sprachkompendium und einfache Regeln

Periodensystem. Physik und Chemie. Sprachkompendium und einfache Regeln Periodensystem Physik und Chemie Sprachkompendium und einfache Regeln 1 Begriffe Das (neutrale) Wasserstoffatom kann völlig durchgerechnet werden. Alle anderen Atome nicht; ein dermaßen komplexes System

Mehr

Einsatz der Thermoanalytik in der Löttechnik

Einsatz der Thermoanalytik in der Löttechnik Einsatz der Thermoanalytik in der Löttechnik S. Puidokas K. Bobzin, N. Bagcivan, N. Kopp Sitzung des AK-Thermophysik in der GEFTA am 24. und 25. März 2011 in Berlin Grundlagen des Lötens Löten: thermisches

Mehr

OFET für Electrophoretic Displays

OFET für Electrophoretic Displays für Electrophoretic Displays Quelle: J. of Displ. Techn. 3 (27) 57 Page 1 für AMOLED Quelle: Plastic Electronics Conf. 21 Page 2 Sony Mai 21: Rollable OTFT driven OLED display : L=5µm µ=.4cm 2 /Vs I on

Mehr

Festkörperelektronik 2008 Übungsblatt 5

Festkörperelektronik 2008 Übungsblatt 5 Lichttechnisches Institut Universität Karlsruhe (TH) Prof. Dr. rer. nat. Uli Lemmer Dipl.-Phys. Alexander Colsmann Engesserstraße 13 76131 Karlsruhe Festkörperelektronik 5. Übungsblatt 26. Juni 2008 Die

Mehr

Polymer & Metallbeschichtung

Polymer & Metallbeschichtung Polymer & Metallbeschichtung Plasmapolymerisation und Metallisierung D. Hegemann, M. Drabik, B. Hanselmann, G. Guex, M. Amberg Empa, St.Gallen, Advanced Fibers dirk.hegemann@empa.ch 12. Nov. 2012, page

Mehr

Ausarbeitung: MOSFET

Ausarbeitung: MOSFET Ausarbeitung: MOSFET Inhaltverzeichnis: 1. Einleitung 2. Definition 3. Aufbau 4. Kennlinien 5. Anwendungen 6. Vor- & Nachteile 7. Quellen 1 1.Einleitung: Die erste begrifflich ähnliche MOSFET- Struktur

Mehr