Kapitel 3 Systementwurf

Größe: px
Ab Seite anzeigen:

Download "Kapitel 3 Systementwurf"

Transkript

1 Kapitel 3 Systementwurf 1 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

2 Pflichtenheft Auf der Basis einer Marktanalyse erfolgt vor der Erarbeitung des Pflichten-, Lastenheftes eine Machbarkeitsstudie. Inhalt des Pflichten-, Lastenheftes: Zielsetzung des Projektes Projektplanung Funktionale Spezifikation (Eigenschaften aller Funktionen, die das System beinhalten soll) Spezifikation der Systemschnittstellen Toleranzspezifikationen (Mindestanforderungen für die spezifizierten Funktionen) Spezifikation der Energieversorgung Spezifikation der Einsatzumgebung Qualitätsmanagement (ISO 9001, Einhaltung von DIN-Normen) Test- und Abnahmebedingungen Juristische Fragen (Lizenzbedingungen, Haftung, Gewährleistung, Support) 2 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

3 Systementwurf Entwurfsschritte von der Idee des Gesamtsystems, dokumentiert im Pflichtenheft bis zur fertigen Systemspezifikation inklusive der Abbildung von der Verhaltens- auf die Strukturachse auf hoher Ebene Analyse möglicher Realisierungsvarianten Architekturentwurf Problempartitionierung, Hierarchieaufbau Festlegung der Funktionen der Subsysteme Entwurf der Algorithmen Verifikation und Vergleich der gefundenen Lösungen Nachweis der Funktionsfähigkeit Abschätzung der Entwurfsdauer Abschätzung der zu erwartenden Kosten potentielle Produzenten Verfügbarkeit von Vorleistungen 3 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

4 Systemspezifikation (I) Attribute Systembezeichnung Globale Einordnung (Ziele, Anforderungen) Schnittstellenbeschreibung Definition der Interaktionsstellen (Ports, Pins, u.a.) Signalformen an den Interaktionsstellen Absolute Grenzwerte und Aussteuerbedingungen kritische Signalpfade Signalübertragungspfade Signalübertragungsanforderungen Toleranzen Gehäuse, Aufbau- und Verbindungstechnik Verhaltensbeschreibung Funktionsdefinition Eingangs- und Ausgangsbeziehungen Simulationsmodelle Algorithmen, Übertragungsfunktionen 4 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

5 Systemspezifikation (II) Strukturbeschreibung Definition von Teilfunktionen, Hierarchiedarstellung Verbindungen von Gesamt- und Teilsystem Aspekte des testfreundlichen Entwurfs Systemumgebung Temperatur mechanische Belastungen chemische Belastungen Strahlungsbelastungen Anforderungen an EMV Zuverlässigkeitsforderungen 5 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

6 Systemspezifikation (III) Testumgebung Testsysteme, Testsystemverbindungen Sicherheitsgrenzen Funktionstest Produktionstest Qualitätssicherungsmaßnahmen Entwurfsmanagement Projektierung, Projektablaufplan Einsatzplanung von Personal und Entwurfshilfsmitteln Bewertung von Preis/Leistung Dokumentation 6 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

7 Herangehensweise bei der Systempartitionierung Ziel: Reduzierung der Komplexität durch ein modulares Konzept zur Verbesserung der Realisierbarkeit und Überprüfbarkeit - strukturierter Entwurf Ermöglichung des Einsatzes von Synthesewerkzeugen, die i. a. nicht die volle Komplexität eines Systems beherrschen können Ablauf: 1. Definition von Untereinheiten 2. Zuweisung von Funktionen an die Untereinheiten Beachtung der Vollständigkeit und Konsistenz der Spezifikation eindeutige Definition der Schnittstellen Analyse der Ausführbarkeit Analyse der Überprüfbarkeit der Spezifikation weitestgehende Verwendung bereits vorliegender komplexer Module (Entwurfsvereinfachung) 3. eventuelle Wiederholung der Punkte Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

8 Einige Grundsätze zur Systempartitionierung Zergliederung in Komponenten Komponenten: als Vorleistung verfügbar oder mit vorhandenen CAD-Methoden generierbar für sich testbar Komplexität reicht von einfachen Schaltungen (z. B. analoge Elemente) bis zu sehr umfangreichen Systemen (z. B. Prozessoren) niedrige sequentielle Tiefe, wenn Modultest im Verlauf der Produktion Prinzip der Modularität (Betrachtung von außen): wohldefiniertes, eindeutiges Interface Kenntnis des internen Aufbaus der Module für Betrachtung von außen nicht notwendig Prinzip der Loyalität (Betrachtung von innen): Details außerhalb einer Komponente sind für die Betrachtung ihrer internen Eigenschaften nicht notwendig Maximum an Informationen bleibt innerhalb des Moduls (Verdrahtung) wichtig für Test und physikalischen Entwurf globale Verdrahtung in Bussen (Leitungslängenproblem) 8 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

9 Hierarchische Architektur System Attribute Umgebung Schnittstelle n Funktion Test Struktur Komponent e 1 Komponent e 2 Komponent e n Attribute Schnittstelle n Funktion Test Struktur Komponent e 1 Komponent e 2 Komponent e n Attribute Schnittstelle n Funktion Test Struktur 9 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

10 Denkbare Partitionierungen auf der Systemebene Schnittstellenmodule digitale Module verarbeitende Module Universalprozessoren Signalprozessoren Spezialprozessoren (im weitern Verlauf des Entwurfs zu erarbeiten) speichernde Module (RAM, ROM) mit vorgegebener Konfiguration mit variabler Konfiguration verbindende Module (Dekoder) analog arbeitende Module AD und DA Wandler 10 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

11 Probleme bei der Taktverteilung Synchrones System: Gewährleistung der Synchronität bei vielen Flipflops clk Treiber Komp onent e 1 Komp onent e 2 t v1 t v2 t vn Komp onent e n Spezialfall: Pipeline Komp onent e 1 Komp onent e 2 clk t 11 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

12 Bussysteme Vorteile: 1. Übersichtlichkeit bei der Signalverabeitung 2. Erleichterung des Floorplanning: Bus kann im Prinzip wie eine einzelne Verbindung betrachtet werden Aufbau: 1. Adressen 2. Daten 3. Steuerleitungen 4. Spezialsignale (Takt, Systemreset) 12 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

13 Beispiel für eine asynchrone Kommunikation 13 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

14 Beispiel für eine asynchrone Kommunikation 14 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

15 A: Steuerflussdominierte Systeme Spezifikationsmethoden I 1. Automaten (FSM) bekannte Theorie 2 Teile des Modells Zustände und Übergänge Aktionen (Ausgaben) und Bedingungen in Form einer verhaltensorientierten Sprache Nachteile keine Hierarchie keine Parallelität keine integrierte Designunterstützung 2. STATECHARTS, SPEED CHARTS Unterstützung der Konzepte von Hierarchie Parallelität Verknüpfung von Elementen Überschreiben der Übergangsbedingungen Übersetzung in VHDL, Verilog, C möglich kommerzielle Werkzeuge verfügbar, Stateflow (MATLAB, Simulink) 15 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

16 STATECHARTS 16 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

17 STATECHARTS 17 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

18 STATECHARTS 18 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

19 STATECHARTS 19 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

20 STATECHARTS 20 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

21 STATECHARTS 21 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

22 STATECHARTS 22 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

23 STATECHARTS 23 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

24 STATECHARTS 24 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

25 STATECHARTS 25 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

26 STATE CHARTS: EXOR Dekomposition Mittel zum Aufbau hierarchischer Beschreibungen A D C B Defaults Historie X H A 26 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

27 STATE CHARTS: AND Dekomposition Mittel zum Aufbau orthogonaler (paralleler) Beschreibungen A C E B D A, B gleichzeitig mit C, D, E 27 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

28 Spezifikationsmethoden II B: Allgemeine Systeme 1. Structured Petri Nets (S-Nets) Transitionen können wieder Netze enthalten 2. Prädikat-Transitions-Netze 3. Logic Programming Modellierung durch Regeln und Inferenzmechanismen klassisches Beispiel: PROLOG 4. Functional Programming Modellierung durch Menge von Funktionen akzeptierte Ansatz für Ingenieurwissenschaften naheliegender als imperative Spezifikationen Kontinuierliche Systeme: Differentialgleichungen (analog Design) Beispiele: Glass (Elektronik), Lisp (KI) 28 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

29 Spezifikationsmethoden III 5. SDL (Specification and Description Language) CCITT Interprocess Communication Message Sequence Charts (MSC/PR MSC /GR) Extended Finite State Machines - Process Diagram (PD) SDL/PR SDL/GR 6. GRAPES (Weiterentwicklung von SDL) Communication Diagram (CD) (structure) Interface Table (IT) Process Diagram (PD) Data Structure Diagram (DD) 7. UML (Unified Modeling Language) 14 Diagrammtypen Sequence Diagram State Diagram 8. Prozedurale und Objektorientierte Ansätze 29 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt

UML (Unified Modelling Language) von Christian Bartl

UML (Unified Modelling Language) von Christian Bartl UML (Unified Modelling Language) von Inhaltsverzeichnis Inhaltsverzeichnis... 2 1 UML Unified Modelling Language... 3 2 Diagrammtypen... 3 2.1 Aktivitätsdiagramm... 3 2.1.1 Notation... 4 2.1.2 Beispieldiagramm...

Mehr

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

Universität Karlsruhe (TH)

Universität Karlsruhe (TH) Universität Karlsruhe (TH) Forschungsuniversität gegründet 1825 Kapitel 2 Die Definitionsphase Prof. Walter F. Tichy Wo sind wir gerade? Planung Lastenheft (funktionales Modell) Definition (Analyse) Pflichtenheft

Mehr

Kapitel 2 - Die Definitionsphase

Kapitel 2 - Die Definitionsphase Kapitel 2 - Die Definitionsphase SWT I Sommersemester 2010 Walter F. Tichy, Andreas Höfer, Korbinian Molitorisz IPD Tichy, Fakultät für Informatik KIT die Kooperation von Forschungszentrum Karlsruhe GmbH

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Software-Engineering

Software-Engineering SWE2 Slide 1 Software-Engineering Sebastian Iwanowski FH Wedel Kapitel 2: Grundbegriffe und Prinzipien SWE2 Slide 2 Grundbegriffe der Software-Entwicklung: Systeme System Ausschnitt aus der realen oder

Mehr

Diskrete Ereignissysteme. Spezielle Netzstrukturen- Übersicht. Beispiele zu speziellen Netzstrukturen. Petri-Netze und Zustandsautomaten

Diskrete Ereignissysteme. Spezielle Netzstrukturen- Übersicht. Beispiele zu speziellen Netzstrukturen. Petri-Netze und Zustandsautomaten Diskrete Ereignissysteme 4.4 Spezialisierungen von Petri Netzen Spezielle Netzstrukturen- Übersicht Ein S-T-Netz heisst Zustands-System gdw. gilt:. W(f) = für alle Kanten f F. 2. t = t = für alle Transitionen

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Vertiefungsrichtung Rechnerarchitektur

Vertiefungsrichtung Rechnerarchitektur srichtung () ( für ) Prof. Dietmar Fey Ziele der srichtung RA Vertiefen des Verständnis vom Aufbau, Funktionsweise von Rechnern und Prozessoren Modellierung und Entwurf von Rechnern und Prozessoren ()

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

Motivation. Motivation

Motivation. Motivation Vorlesung Modellierung nebenläufiger Systeme Sommersemester 2012 Universität Duisburg-Essen Was sind nebenläufige Systeme? Ganz allgemein: Systeme, bei denen mehrere Komponenten/Prozesse nebenläufig arbeiten

Mehr

NACHRICHTENTECHNISCHER SYSTEME

NACHRICHTENTECHNISCHER SYSTEME Einführung UML COMPUTERSIMULATION NACHRICHTENTECHNISCHER SYSTEME 11. Unified Modeling Language UML 220 Standardsprache d zur Visualisierung, i Spezifikation, Konstruktion und Dokumentation komplexer (Software-)

Mehr

Inhaltsverzeichnis. Teil I Grundlagen 1

Inhaltsverzeichnis. Teil I Grundlagen 1 xv Teil I Grundlagen 1 1 Modelle und Modellierung 3 1.1 Modelle, die uns umgeben.................................. 3 1.2 Modelltheorie........................................... 5 1.3 Ziele beim Einsatz

Mehr

Software- und Systementwicklung

Software- und Systementwicklung Software- und Systementwicklung Seminar: Designing for Privacy 11.11.2009 Moritz Vossenberg Inhalt Vorgehensmodelle Wasserfallmodell V-Modell Phasen (Pflichtenheft) UML Klassendiagramm Sequenzdiagramm

Mehr

1 EINLEITUNG PROJEKTABLAUF Allgemeine Zielsetzung Projektstruktur und Zeitplan ANFORDERUNGSANALYSE...

1 EINLEITUNG PROJEKTABLAUF Allgemeine Zielsetzung Projektstruktur und Zeitplan ANFORDERUNGSANALYSE... Inhaltsverzeichnis Inhaltsverzeichnis 1 EINLEITUNG... 1 2 PROJEKTABLAUF... 4 2.1 Allgemeine Zielsetzung... 4 2.2 Projektstruktur und Zeitplan... 4 3 ANFORDERUNGSANALYSE... 8 3.1 Der Prototyp des Anlagenmodells...

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Lehrstuhl für Datenverarbeitung. Technische Universität München. Grundkurs C++ Objektmodellierung. Grundkurs C++

Lehrstuhl für Datenverarbeitung. Technische Universität München. Grundkurs C++ Objektmodellierung. Grundkurs C++ Grundkurs C++ Objektmodellierung Grundkurs C++ Objektmodellierung welche Objekte bzw. Klassen werden benötigt? welche Information wird benötigt, um ein Objekt zu beschreiben? welche Beziehungen bestehen

Mehr

Software Engineering

Software Engineering Software Engineering Gustav Pomberger, Wolfgang Pree Architektur-Design und Prozessorientierung ISBN 3-446-22429-7 Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-22429-7 sowie

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Kollaboratives Requirements Engineering bei Mercedes-Benz Cars. Dr. Andreas Queckenberg

Kollaboratives Requirements Engineering bei Mercedes-Benz Cars. Dr. Andreas Queckenberg Kollaboratives Requirements Engineering bei Mercedes-Benz Cars Dr. Andreas Queckenberg Berliner Requirements Engineering Symposium 2013 1 Agenda Rückblick REM@MBC Kollaboratives Requirements Engineering

Mehr

Software Engineering

Software Engineering lan Sommerville 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Software Engineering 6. Auflage Pearson Studium ein

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Software Engineering

Software Engineering Literatur Gliederung Software Engineering Herbert Kuchen Universität Münster Di+Fr 14:15-15:45, M2 Wintersemester 2009/2010 1 Literatur Gliederung Basis-Literatur H. Balzert: Lehrbuch der Software-Technik,

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Objektorientierte Programmierung (OOP)

Objektorientierte Programmierung (OOP) orientierte Programmierung (OOP) 1. Motivation Die objektorientierte Sichtweise der Welt Als Motivation für die OOP sieht man sich am besten die reale Welt an: Die reale Welt besteht aus "en", z. B.: Gegenstände,

Mehr

2 Softwarearchitektur in der Organisationsstruktur 25

2 Softwarearchitektur in der Organisationsstruktur 25 xiii Teil I Grundlagen und Organisation 1 1 Grundlagen 3 1.1 Warum Softwarearchitektur?.............................. 4 1.2 Was ist Softwarearchitektur?.............................. 6 1.2.1 Definition

Mehr

Formale Verifikation von Software. 10. Juli 2013

Formale Verifikation von Software. 10. Juli 2013 Formale Verifikation von Software 10. Juli 2013 Überblick Wann ist formale Softwareverifikation sinnvoll? Welche Techniken gibt es? Was ist Model Checking und wie kann man es zur Verifikation einsetzen?

Mehr

Tamagotchi-Spezifikation in UML

Tamagotchi-Spezifikation in UML Tamagotchi-Spezifikation in UML Christian Becker Steffen Glomb Michael Graf Gliederung Grundlagen Notation Werkzeug Modellierung Details der Spezifikation Erfahrungen Beurteilung von Notation und Werkzeug

Mehr

1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen - 1 -

1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen - 1 - 1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen I.2. I.2. Grundlagen von von Programmiersprachen. - 1 - 1. Der Begriff Informatik "Informatik" = Kunstwort aus Information und Mathematik

Mehr

1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen - 1 -

1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen - 1 - 1. Der Begriff Informatik 2. Syntax und Semantik von Programmiersprachen I.2. I.2. Grundlagen von von Programmiersprachen. - 1 - 1. Der Begriff Informatik "Informatik" = Kunstwort aus Information und Mathematik

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Systembeschreibungssprachen

Systembeschreibungssprachen Systembeschreibungssprachen Dr. Jürgen Ruf Organisation Vorlesung Donnerstags 15:45 bis 17:15 Kleiner Hörsaal, Sand 6/7 Sprechzeiten: nach Vereinbarung Email: ruf@informatik.uni-tuebingen.de Tel: 07071/29-74706

Mehr

Einführung in die Informatik

Einführung in die Informatik Einführung in die Informatik Softwareentwicklung Probleme bei großer Software Life-Cycle-Modelle Teilphasen eines Software-Projekts Methoden und Werkzeuge 01101101 01011001 11010011 10011000 00000011 00011100

Mehr

Anforderungsmanagement und modelbasierte Entwicklung

Anforderungsmanagement und modelbasierte Entwicklung Anforderungsmanagement und modelbasierte Entwicklung Bernhard Schätz, Markus Pister, Alexander Wisspeintner Fakultät für Informatik, TU München GI-Treffen Fachgruppe RE, 7//03-8//03 Werkzeuggestütztes

Mehr

Projektmanagement. Dokument V 1.1. Oliver Lietz - Projektmanagement. Wie kommt es zu einem Projektauftrag? Ausführung

Projektmanagement. Dokument V 1.1. Oliver Lietz - Projektmanagement. Wie kommt es zu einem Projektauftrag? Ausführung Projektmanagement Management- und Phasen-Modelle Vom Wasserfall bis Extreme Programming / Scrum Dokument V 1.1 Wie kommt es zu einem Projektauftrag? Auftraggeber Projekt-Idee / Ziele [Anforderungen/Spezifikation/

Mehr

Unified Modeling Language 2

Unified Modeling Language 2 Unified Modeling Language 2 Marvin Frommhold 17.11.2008 Gliederung Einleitung Geschichte Strukturierung der Spezifikation Diagrammtypen Strukturdiagramme Verhaltensdiagramme CASE-Werkzeuge Quellen Was

Mehr

Integration im Enterprise Umfeld

Integration im Enterprise Umfeld Integration im Enterprise Umfeld Sven Tissot pdv Technische Automation + Systeme GmbH Hamburg DOAG 2007 pdv Technische Automation + Systeme GmbH, 2007 1 Eckdaten Individual-Software Client/Server- und

Mehr

Überblick. Modellbildung in der Entwicklung mit Schwerpunkt Architekturen Schnittstellen. Schnittstelle. Schnittstelle

Überblick. Modellbildung in der Entwicklung mit Schwerpunkt Architekturen Schnittstellen. Schnittstelle. Schnittstelle Überblick Modellbildung in der Entwicklung mit Schwerpunkt Architekturen Schnittstellen Motivation Syntaktische Schnittstellen Dynamische Schnittstellen Modellbildung in der Entwicklung Prof. Dr. Dr. h.c.

Mehr

RUP Analyse und Design: Überblick

RUP Analyse und Design: Überblick Inhaltsverzeichnis Übersicht [, 2, 8] 3. Vorgehensweise............................... 5 2 Planungsmethoden 37 2. Definitionsphase.............................. 6 3 Rational Unified Process [5, 6] und

Mehr

Fundamental Modeling Concepts

Fundamental Modeling Concepts Fundamental Modeling Concepts Ein mentaler Rahmen für Softwarearchitektur Burkhardt Renz Fachbereich MNI Technische Hochschule Mittelhessen Wintersemester 2017/18 Übersicht Überblick Die Idee von FMC Drei

Mehr

Model-based Design für medizintechnische Anwendungen

Model-based Design für medizintechnische Anwendungen Model-based Design für medizintechnische Anwendungen Dr. Momme Winkelnkemper Projektumfeld Entwicklungsdienstleister Algorithmen Datenanalyse U.a. für Messgeräte- Entwicklung 2 Projektumfeld Entwicklungsdienstleister

Mehr

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1

Grundlagen der Programmentwurfstechnik Fundamentals of Software Engineering 1 Fundamentals of Software Engineering 1 Inhaltsverzeichnis 1. Einführung 2. Allgemeine Modellbildung - Klassische Konzepte des Software Engineering- 2.1 Das Kontextmodell 2.2 Entscheidungstabellen 2.3 Zustandsmodelle

Mehr

1.3 Entwicklungsmethoden: Systematischer Überblick

1.3 Entwicklungsmethoden: Systematischer Überblick 1.3 Entwicklungsmethoden: Systematischer Überblick Literatur: Balzert Band 1, LE 4-11 "There is method in the madness." William Shakespeare Was ist eine Software-Entwicklungsmethode? Beschrieben in Lehrbüchern

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Formale Verifikation von Software. 8. Juli 2015

Formale Verifikation von Software. 8. Juli 2015 Formale Verifikation von Software 8. Juli 2015 Überblick Wann ist formale Softwareverifikation sinnvoll? Welche Techniken gibt es? Was ist Model Checking und wie kann man es zur Verifikation einsetzen?

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Beschreibungsmöglichkeiten in Verilog

Beschreibungsmöglichkeiten in Verilog Fachgebiet Rechnerarchitektur Fachbereich Informatik Prof. Dr. R. Hoffmann 4/2005, 4/2007 Beschreibungsmöglichkeiten in Verilog Inhalt 1 Grundsätzliche Möglichkeiten 1.1 Strukturbeschreibung (Structural

Mehr

Inhaltsverzeichnis.

Inhaltsverzeichnis. Wegweiser durch das Buch 1 1 Problembereich und Lösungsbereich 10 1.1.Unterschiede zwischen Problembereich und Lösungsbereich 10 1.2 Paradigmen der Softwareentwicklung 12 1.3 Methoden für die verschiedenen

Mehr

Probe-Klausur Software Engineering Fachbereich BW, für WINFO

Probe-Klausur Software Engineering Fachbereich BW, für WINFO Probe-Klausur Software Engineering Fachbereich BW, für WINFO Dipl.-Ing. Klaus Knopper 17.04.2007 Hinweis: Bitte schreiben Sie auf das Deckblatt und auf jede Seite Ihren Namen und Ihre Matrikelnummer, bevor

Mehr

Software Engineering Zielorientierte Bereitstellung und systematische Verwendung von Prinzipien, Methoden und Werkzeugen

Software Engineering Zielorientierte Bereitstellung und systematische Verwendung von Prinzipien, Methoden und Werkzeugen White Paper Software Engineering Zielorientierte Bereitstellung und systematische Verwendung von Prinzipien, Methoden und Werkzeugen Die arbeitsteilige, ingenieurmäßige Entwicklung und Anwendung von umfangreichen

Mehr

Electronic Design Automation (EDA) Spezifikation

Electronic Design Automation (EDA) Spezifikation Electronic Design Automation (EDA) Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangs-diagramme... für

Mehr

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.!

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Fakultät Informatik Institut für Technische Informatik VLSI-Entwurfssysteme, Diagnostik und Entwurf! Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Norman Seßler! Dresden, 1.7.2009! Gliederung!

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Management Hardware Software

Management Hardware Software Management Hardware Software (ISO 26262, Reliability IEC Engineering 61508, ) TÜV NORD Systems GmbH & Co. KG Branch South Functional Safety Funktionale Halderstr. Sicherheit 27 D-86150 Augsburg TÜV NORD

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Skript zur Vorlesung: Einführung in die Programmierung WiSe 2009 / 2010 Skript 2009 Christian Böhm, Peer Kröger, Arthur Zimek Prof. Dr. Christian Böhm Annahita Oswald Bianca Wackersreuther Ludwig-Maximilians-Universität

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

ELEKTRONIK 2 SCHALTUNGSTECHNIK L2-1/21 Prof. Dr.-Ing. Johann Siegl. L2 Methodik zur Elektroniksystementwicklung

ELEKTRONIK 2 SCHALTUNGSTECHNIK L2-1/21 Prof. Dr.-Ing. Johann Siegl. L2 Methodik zur Elektroniksystementwicklung 1 von 21 15.03.2008 11:38 ELEKTRONIK 2 SCHALTUNGSTECHNIK L2-1/21 L2 Methodik zur Elektroniksystementwicklung Als erstes erfolgt eine Kurzdarstellung des Produktentwicklungsprozesses. Im weiteren wird in

Mehr

13 Automaten und formale Sprachen

13 Automaten und formale Sprachen 13 Automaten und formale Sprachen Jörn Loviscach Versionsstand: 7. Oktober 2011, 11:18 Die nummerierten Felder sind absichtlich leer, zum Ausfüllen in der Vorlesung. Videos dazu: http://www.j3l7h.de/videos.html

Mehr

V-Modell mit UML. Max Kleiner

V-Modell mit UML. Max Kleiner V-Modell mit UML Max Kleiner Open or programming for change The Unified Modeling Language [UML95] is a thirdgeneration object-oriented modeling language for specifying, visualizing, and documenting the

Mehr

Entwicklung von Medizinischen Algorithmen für die Kardiologie mit Hilfe Simulink und Modellbasiertes Design

Entwicklung von Medizinischen Algorithmen für die Kardiologie mit Hilfe Simulink und Modellbasiertes Design Entwicklung von Medizinischen Algorithmen für die Kardiologie mit Hilfe Simulink und Modellbasiertes Design Dr.-Ing. Antoun Khawaja CEO KhawajaHealth Antoun.Khawaja@KhawajaHealth.com Antoun.Khawaja@Corpuls.com

Mehr

Inhaltsverzeichnis Kapitel 1 Grundlagen für Echtzeitsysteme in der Automatisierung

Inhaltsverzeichnis Kapitel 1 Grundlagen für Echtzeitsysteme in der Automatisierung Kapitel 1 Grundlagen für Echtzeitsysteme in der Automatisierung 1 1.1 Einführung 1 1.1.1 Echtzeitdatenverarbeitung 1 1.1.2 Ziele und Grundprinzip der Automatisierung von technischen Prozessen 2 1.1.3 Anwendungsbeispiele

Mehr

Abkürzungen. Kapitel 1 - Einleitung Stand der Automobilelektronik Historische Entwicklung Gegenwärtige Probleme 2

Abkürzungen. Kapitel 1 - Einleitung Stand der Automobilelektronik Historische Entwicklung Gegenwärtige Probleme 2 Inhalt Abkürzungen X Kapitel 1 - Einleitung 1 1.1 Stand der Automobilelektronik 1 1.1.1 Historische Entwicklung 1 1.1.2 Gegenwärtige Probleme 2 1.2 Zielsetzung 5 1.3 Aufbau der Arbeit 6 1.4 Veröffentlichungen

Mehr

Software-Engineering

Software-Engineering FH Wedel Prof. Dr. Sebastian Iwanowski SWE2 Folie 1 Software-Engineering Sebastian Iwanowski FH Wedel Kapitel 2: Grundbegriffe und Prinzipien FH Wedel Prof. Dr. Sebastian Iwanowski SWE2 Folie 2 Grundbegriffe

Mehr

DIN EN (VDE ): EN 50128:2011

DIN EN (VDE ): EN 50128:2011 Inhalt Vorwort... 7 Einleitung... 8 1 Anwendungsbereich... 11 2 Normative Verweisungen... 12 3 Begriffe und Abkürzungen... 12 3.1 Begriffe... 12 3.2 Abkürzungen... 17 4 Ziele, Konformität und Software-Sicherheits-Integritätslevel...

Mehr

1.3 Entwicklungsmethoden: Systematischer Überblick

1.3 Entwicklungsmethoden: Systematischer Überblick 1.3 Entwicklungsmethoden: Systematischer Überblick Literatur: Balzert Band 1, LE 411 "There is method in the madness." William Shakespeare Beispiel einer Methode: RUP + UML Darstellungsformen: Unified

Mehr

Entwicklung des Softwareengineerings im Bereich der IT-TK-Technologie. Stefan Bläsius und Gregorio Roper Berlin,

Entwicklung des Softwareengineerings im Bereich der IT-TK-Technologie. Stefan Bläsius und Gregorio Roper Berlin, Entwicklung des Softwareengineerings im Bereich der IT-TK-Technologie Stefan Bläsius und Gregorio Roper Berlin, 05.05.2004 1 ServiceForce Die ServiceForce GmbH hat engagierte Mitarbeiter in den Bereichen

Mehr

Software Engineering mit Übungen. Franz-Josef Elmer, Universität Basel, HS 2015

Software Engineering mit Übungen. Franz-Josef Elmer, Universität Basel, HS 2015 Software Engineering mit Übungen Franz-Josef Elmer, Universität Basel, HS 2015 Software Engineering 2 Organisation Ort: Seminarraum 05.002, Spiegelgasse 5 Ablauf: 15:15 Vorlesung Prüfung: Schriftlich,

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Message Sequence Charts, Live Sequence Charts

Message Sequence Charts, Live Sequence Charts Message Sequence Charts, Live Sequence Charts SE Systementwurf WS 05/06 Evgeniya Ershova Message Sequence Charts, Live Sequence Charts 1 Gliederung Heute basic MSC s Message Sequence Graphs Hierarchical

Mehr

Neue Fachmeinungen zum Thema Dokumentation

Neue Fachmeinungen zum Thema Dokumentation Neue Fachmeinungen zum Thema Dokumentation Juristisches IT-Projektmanagement Christian Ungnadner 31.01.2017 1 Agenda Was ist Dokumentation Dokumentationsarten Neue Fachmeinungen zum Thema Dokumentation

Mehr

1.1 Spezifikation und Entwurf im Software-Lebenslauf Lineares Prozessmodell:

1.1 Spezifikation und Entwurf im Software-Lebenslauf Lineares Prozessmodell: 1 Einführung und Überblick 1.1 Spezifikation und Entwurf im Software-Lebenslauf Lineares Prozessmodell: Anstoß Auftrag Projekt planen Anforderungen spezifizieren Lieferung Architektur entwerfen System

Mehr

Entwurf E DIN EN (VDE ): FprEN :2008

Entwurf E DIN EN (VDE ): FprEN :2008 Deutsche Fassung Funktionale Sicherheit sicherheitsbezogener elektrischer/elektronischer/programmierbarer elektronischer Systeme Teil 6: Anwendungsrichtlinie für IEC 61508-2 und IEC 61508-3 Inhalt Einleitung...

Mehr

Objektorientierte Systementwicklung

Objektorientierte Systementwicklung Karl-Heinz Rau Objektorientierte Systementwicklung Vom Geschäftsprozess zum Java-Programm Mit 162 Abbildungen vieweg Überblick und Vorbemerkungen 1 1 Objektorientierte Software-Entwicklung 5 1.1 Überblick

Mehr

Protokoll-Spezifikationen

Protokoll-Spezifikationen Protokoll-Spezifikationen Steven Müller 1. Einleitung 2. Protokolle 3. Kompatibilität von Protokollen 4. Subprotokolle 5. Realisierung 6. Zusammenfassung 1. Einleitung Worum geht es in diesem Vortrag?

Mehr

Knasmüller.book Seite vii Mittwoch, 28. März 2001 11:11 11. vii. Inhaltsverzeichnis

Knasmüller.book Seite vii Mittwoch, 28. März 2001 11:11 11. vii. Inhaltsverzeichnis Knasmüller.book Seite vii Mittwoch, 28. März 2001 11:11 11 vii 1 Einführung 1 1.1 Motivation.................................... 1 1.2 Vorteile der neuen Techniken...................... 3 1.3 Aufbau des

Mehr

PC-basierte HIL-Simulation in der elektrischen Antriebstechnik

PC-basierte HIL-Simulation in der elektrischen Antriebstechnik PC-basierte in der elektrischen Antriebstechnik MATLAB EXPO 2015 Dipl.-Ing. Achim Schöke Achim.Schoeke@KEB.de 1 Agenda Einleitung Zusammenfassung Entwicklungsstufen im V-Modell Eigenschaften von PWM Signalen

Mehr

Jason T. Roff UML. IT Tutorial. Übersetzung aus dem Amerikanischen von Reinhard Engel

Jason T. Roff UML. IT Tutorial. Übersetzung aus dem Amerikanischen von Reinhard Engel Jason T. Roff UML IT Tutorial Übersetzung aus dem Amerikanischen von Reinhard Engel Inhaltsverzeichnis Inhaltsverzeichnis Einführung 11 Grundlagen der UML 15 Warum wir Software modellieren 16 Analyse,

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Vorlesung Programmieren

Vorlesung Programmieren Vorlesung Programmieren Unified Modeling Language (UML) Dr. Dennis Pfisterer Institut für Telematik, Universität zu Lübeck http://www.itm.uni-luebeck.de/people/pfisterer Unified Modeling Language (UML)

Mehr

Software-Lebenszyklus

Software-Lebenszyklus Software-Lebenszyklus Inhalt Vorgehensmodell/Phasenplan Wasserfallmodell WAS-Beschreibung WIE-Beschreibung Weitere Phasenmodelle: Spiral-Modell, V-Modell, RUP Extreme Programming SW-Qualitätssicherung

Mehr

1.3 Entwicklungsmethoden: Systematischer Überblick

1.3 Entwicklungsmethoden: Systematischer Überblick 1.3 Entwicklungsmethoden: Systematischer Überblick Literatur: Balzert Band 1, LE 411 Was ist der Unterschied zwischen einem Methoden Spezialisten und einem Terroristen? Was ist eine SoftwareEntwicklungsmethode?

Mehr

17 Architekturentwurf Vorgehen und Dokumentation

17 Architekturentwurf Vorgehen und Dokumentation 17 Architekturentwurf Vorgehen und Dokumentation 17.1 Einbettung Aber Erster Schritt der Lösung Wenn Anforderungsspezifikation vorliegt Vorgabe für Codierung Hierarchische Verzahnung von Anforderungen

Mehr

Regelbasierte Entwicklung betrieblicher Informationssysteme

Regelbasierte Entwicklung betrieblicher Informationssysteme Reihe: Wirtschaftsinformatik Band 45 Herausgegeben von Prof. (em.) Dr. Dietrich Seibt, Köln, Prof. Dr. Hans-Georg Kemper, Stuttgart, Prof. Dr. Georg Herzwurm, Stuttgart, Prof. Dr. Dirk Stelzer, Ilmenau,

Mehr

Requirements Engineering I

Requirements Engineering I Martin Glinz Requirements Engineering I Kapitel 9 UML Unified Modeling Language Universität Zürich Institut für Informatik 2006, 2009 Martin Glinz. Alle Rechte vorbehalten. Speicherung und Wiedergabe für

Mehr

Stand der Überarbeitung in der IEC SC 65A/MT , Vorbereitung 3. Ausgabe der IEC GAK Frankfurt,

Stand der Überarbeitung in der IEC SC 65A/MT , Vorbereitung 3. Ausgabe der IEC GAK Frankfurt, Stand der Überarbeitung in der IEC SC 65A/MT 61508-3, Vorbereitung 3. Ausgabe der IEC 61508 GAK 914.0.3 Frankfurt, 1.03.2017 Einordnung der vorbereitenden Maßnahmen zur 3. Ausgabe der IEC 61508 - Im November

Mehr

Von IPSEN zu mechatronischen Entwurfsumgebungen

Von IPSEN zu mechatronischen Entwurfsumgebungen Von IPSEN zu mechatronischen Entwurfsumgebungen Wilhelm Schäfer Heinz Nixdorf Institut und Institut für Informatik 1 IPSEN Screenshot (1988) Incremental Programming Support Environment 2 SUN Workstation

Mehr

Große Simulink-Modelle mit Bus Objects effizienter gestalten

Große Simulink-Modelle mit Bus Objects effizienter gestalten Große Simulink-Modelle mit Bus Objects effizienter gestalten Sebastian Bewersdorff Product Manager, TESIS DYNAware GmbH, München Matlab Expo 2015, 12.05.2015 TESIS DYNAware GmbH, www.tesis-dynaware.com

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

IT-Projekt-Management

IT-Projekt-Management IT-Projekt-Management email: vuongtheanh@netscape.net http: www.dr-vuong.de 2005 by, Bielefeld Seite 1 Vorgehensmodell 2005 by, Bielefeld Seite 2 Was ist ein Vorgehensmodell? Strukturbeschreibung über

Mehr

1 Methodenintegration in dem Entwicklungsprozess Methodenintegration mit PLATO SCIO... 4

1 Methodenintegration in dem Entwicklungsprozess Methodenintegration mit PLATO SCIO... 4 Der individuelle Methodenbaukasten für die Entwicklung von Serienprodukten Schwerpunkt: Technisches System Mechanik, Elektronik, Software Inhalt 1 Methodenintegration in dem Entwicklungsprozess... 3 2

Mehr

intence automotive electronics Ausführbare Spezifikation Der Weg zu besseren Anforderungen

intence automotive electronics Ausführbare Spezifikation Der Weg zu besseren Anforderungen intence automotive electronics Ausführbare Spezifikation Der Weg zu besseren Anforderungen Kurzvorstellung intence Agenda KURZVORSTELLUNG intence automotive electronics Wurde 2007 gegründet und ist Entwicklungspartner

Mehr

Fakultät für Informatik der Technischen Universität München. Kapitel 2. Modellierung von Echtzeitsystemen und Werkzeuge

Fakultät für Informatik der Technischen Universität München. Kapitel 2. Modellierung von Echtzeitsystemen und Werkzeuge Kapitel 2 Modellierung von n und Werkzeuge 39 Inhalt Motivation Grundsätzlicher Aufbau, Models of Computation Werkzeug Ptolemy Synchrone Sprachen (Esterel, Lustre) Reaktive Systeme: Werkzeuge Esterel Studio,

Mehr

n 1. Der Begriff Informatik n 2. Syntax und Semantik von Programmiersprachen - 1 -

n 1. Der Begriff Informatik n 2. Syntax und Semantik von Programmiersprachen - 1 - n 1. Der Begriff Informatik n 2. Syntax und Semantik von Programmiersprachen I.2. I.2. Grundlagen von von Programmiersprachen. - 1 - 1. Der Begriff Informatik n "Informatik" = Kunstwort aus Information

Mehr

Notationen zur Prozessmodellierung

Notationen zur Prozessmodellierung Notationen zur Prozessmodellierung August 2014 Inhalt (erweiterte) ereignisgesteuerte Prozesskette (eepk) 3 Wertschöpfungskettendiagramm (WKD) 5 Business Process Model and Notation (BPMN) 7 Unified Modeling

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Übungen zur Softwaretechnik

Übungen zur Softwaretechnik Technische Universität München Fakultät für Informatik Lehrstuhl IV: Software & Systems Engineering Markus Pister, Dr. Bernhard Rumpe WS 2002/2003 Lösungsblatt 1 17. Oktober 2002 www4.in.tum.de/~rumpe/se

Mehr

Institut für Angewandte Mikroelektronik und Datentechnik Fachbereich Elektrotechnik und Informationstechnik Universität Rostock.

Institut für Angewandte Mikroelektronik und Datentechnik Fachbereich Elektrotechnik und Informationstechnik Universität Rostock. Seite 1 Optimierung der Verbindungsstrukturen in Digitalen Neuronalen Netzwerken Workshop on Biologically Inspired Methods on Modelling and Design of Circuits and Systems 5.10.2001 in Ilmenau, Germany

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

Methodische objektorientierte Softwareentwicklung

Methodische objektorientierte Softwareentwicklung Methodische objektorientierte Softwareentwicklung Eine Integration klassischer und moderner Entwicklungskonzepte von Mario Winter 1. Auflage Methodische objektorientierte Softwareentwicklung Winter schnell

Mehr