Entwicklung einer parametrierbaren Steuer-Prozessor-Einheit für den Einsatz in Mixed-Signal ASICs

Größe: px
Ab Seite anzeigen:

Download "Entwicklung einer parametrierbaren Steuer-Prozessor-Einheit für den Einsatz in Mixed-Signal ASICs"

Transkript

1 Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Entwicklung einer parametrierbaren Steuer-Prozessor-Einheit für den Einsatz in Mixed-Signal ASICs Diplomverteidigung Stephan Richter Dresden,

2 Gliederung Einführung 2 Prozessorsystem Architektur Befehlssatz Scheduling Wake-Up 3 Realisierungen 4 Verifikationsmethoden 5 Leistungsaufnahme 6 Zusammenfassung Diplomverteidigung: Steuer-Prozessor-Einheit Folie 2 von 2

3 Einführung - Anforderungen, Einsatzgebiet Einsatzgebiet: Automobilsektor Motorsteuerung Bus-Controller (LIN, CAN, SPI) Einparkhilfe (Park-distance-control) Fensterheber Frei parametrierbare Hardware Energie- und flächeneffiziente Architektur Quelle: Autonews, Diplomverteidigung: Steuer-Prozessor-Einheit Folie 3 von 2

4 Einführung - Mixed-Signal-Umgebung Ohne Prozessor Mit Prozessor Sensor/ Aktor Analog-Schaltung Sensor/ Aktor Analog-Schaltung Mixed-Signal-Umgebung Sensor/ Aktor ADC/ PLL/ Verstärker/ Filter/ Regler SPI Steuerung RAM 00FF Datenbus ADC Steuerung Globale FSM 00FF Digital-Schaltung Mixed-Signal-Umgebung Sensor/ Aktor SPI Interface ADC Interface ADC/ PLL/ Verstärker/ Filter/ Regler Datenbus Digital-Schaltung RAM MuTEC (µc) SPI, JTAG, UART... JTAG 80FF JTAG Interface ROM MuTEC: Multi-Threaded-Embedded-Controller Diplomverteidigung: Steuer-Prozessor-Einheit Folie 4 von 2

5 Einführung - Motivation Wozu ein neuer Prozessor? Komplexität verfügbarer (Multi-Threading-) Prozessoren zu hoch, Integration zu aufwendig und kostenintensiv Geschwindigkeit nicht maßgebend Echtzeitfähigkeit und Parallelität Energie und Flächeneffizienz Große Bandbreite an Anwendungen Eigener Befehlssatz Parametrierbarkeit Diplomverteidigung: Steuer-Prozessor-Einheit Folie 5 von 2

6 Einführung - Hardwareseitiges Multithreading Thread EU EU2 EU3 Thread 2 EU EU2 EU3 Block Multithreading EU EU2 EU3 Fine-grained Multithreading EU EU2 EU3 Simultaneous Multithreading EU EU2 EU3 MuTEC EU 0 Zeit EU : Execution Unit EU von Thread belegt EU von Thread2 belegt EU im Leerlauf Diplomverteidigung: Steuer-Prozessor-Einheit Folie 6 von 2

7 2 Prozessorsystem Architektur, Parameter Anzahl der Threads N Programm Counter -Breite P Busdatenbreite B Adressbreite A WakeUp(N) Befehl(6) Befehlsadresse(P) Round Robin Scheduler select(n) Speicheradresse(A) Lesedaten(B) Befehlsspeicher Datenspeicher RAM N Statusregister Schreibdaten(B) Steuerwerk Steuersignale N PCs N Stacks N GPR R-Bit (N:) MUX RegA(R) RegB(R) Mux a Bus MUX ALU Mux b mode enable RegB(R) Größe eines Stacks Größe eines Registerfiles Registerbreite R Diplomverteidigung: Steuer-Prozessor-Einheit Folie 7 von 2

8 Prozessorsystem Architektur, kritischer Pfad Harvard Architektur Scheduler Kein Pipelining WakeUp(N) Befehl(6) Befehlsadresse(P) Round Robin Scheduler select(n) Speicheradresse(A) Lesedaten(B) Befehlsspeicher Datenspeicher RAM N Statusregister Schreibdaten(B) Steuerwerk Steuersignale N PCs N Stacks N GPR R-Bit (N:) MUX RegA(R) RegB(R) Mux a Bus MUX ALU Mux b mode enable RegB(R) Diplomverteidigung: Steuer-Prozessor-Einheit Folie 8 von 2

9 Scheduler Sprung Einführung» Prozessorsystem» Realisierungen» Verifikationsmethoden» Leistungsaufnahme» Zusammenfassung Prozessorsystem Befehlssatz Funktion ADD, SLL Addieren NOP Keine Operation ADC, ROL SUB Addieren m Carry Subtrahieren CALL JMPR BCZ (Branch) BCNZ BCN BCNN BCC BCNC CALLI JMPI LD_PC RET SET_PRIO CLI SEI Unterprogrammaufruf Relativer Sprung Branch condition zero Branch condition not zero Branch condition negative Branch condition not negative Branch condition carry Branch condition not carry Indirekter Unterprogrammaufruf Indirekter Sprung Load Program Counter Return (von Unterprogramm) Setze eigene Priorität Scheduler aus Scheduler an SBC AND OR XOR CP LD ADDI SUBI CPI NOT CLR SLR ROR LD ID SETBIT CLRBIT CHKBIT Subtrahieren m Carry UND ODER Exklusiv ODER Vergleich Lade Register zu Register Addiere Konstante Subtrahiere Konstante Vergleiche auf Konstante Negation (-Kompl) Löschen Rechts schieben Rechts rotieren Lade Konstante zu Register Setze Bit Lösche Bit Prüfe Bit (Carry) Math./Logische Bitverab. Diplomverteidigung: Steuer-Prozessor-Einheit Folie 9 von 2

10 Scheduler Sprung Einführung» Prozessorsystem» Realisierungen» Verifikationsmethoden» Leistungsaufnahme» Zusammenfassung Prozessorsystem Befehlssatz Codierung ADD, SLL 0000 iaaa aaib bbbb NOP ADC, ROL SUB 000 iaaa aaib bbbb 000 iaaa aaib bbbb CALL JMPR BCZ (Branch) BCNZ BCN BCNN BCC BCNC CALLI JMPI LD_PC 0 dddd dddd dddd 0 vrrr rrrr rrrr v000 rrrr rrrr v00 rrrr rrrr v00 rrrr rrrr v0 rrrr rrrr v00 rrrr rrrr v0 rrrr rrrr b bbbb 00 00b bbbb 00 00b bbbb RET SET_PRIO 0 00pp pppp CLI SEI SBC AND OR XOR CP LD ADDI SUBI CPI NOT CLR SLR ROR LD ID SETBIT CLRBIT CHKBIT 00 iaaa aaib bbbb 000 iaaa aaib bbbb 00 iaaa aaib bbbb 00 iaaa aaib bbbb 0 iaaa aaib bbbb 000 iaaa aaib bbbb 00 dddd ddib bbbb 00 dddd ddib bbbb 0 dddd ddib bbbb 0 00ib bbbb 0 0ib bbbb 0 0ib bbbb 0 ib bbbb 00 00dd dddd dddd 00 0ss ssib bbbb 00 0ss ssib bbbb 00 ss ssib bbbb Math./Logische Bitverab. Diplomverteidigung: Steuer-Prozessor-Einheit Folie 0 von 2

11 Prozessorsystem Scheduling Round Robin Scheduler (Zeitscheibenverfahren) Benötigtes Verhalten: request(n, t) & select(n, t) => select(n, t+) wakeup(t) request, select(t) Round Robin Scheduler select(t+) request left N, t select(n, t + ) select(n, t) select(n, t) request(n, t) (request left (N, t) + ) request left (N, t) request left (N, t) 0, request(n, t) + request(n, t) request left (N, t) = 0 Diplomverteidigung: Steuer-Prozessor-Einheit Folie von 2

12 Prozessorsystem Scheduling Beispiel: 4 Threads mit Prioritäten (5,2,2,) über vier Perioden clk Thread Thread Thread Thread 3 reload Diplomverteidigung: Steuer-Prozessor-Einheit Folie 2 von 2

13 Prozessorsystem Wake-Up Beispiel: Thread 3 wird aufgeweckt clk Thread Thread Thread Thread Wake-Up 3 Diplomverteidigung: Steuer-Prozessor-Einheit Folie 3 von 2

14 3 Realisierungen FPGA 5000 Spartan3 Ressourcenbedarf x8 Bit Register 6x0 Bit Register Th 2Th 4Th 8Th Th 2Th 4Th 8Th Slices Slice Flip-Flops Input LUTs Diplomverteidigung: Steuer-Prozessor-Einheit Folie 4 von 2

15 Realisierungen ELMOS 0,35µ-Standardzellen Place & Route für 8 Konfigurationen: N:, 2, 4, 8 Threads Mit und ohne Clock-Gating Weitere Parameter: R: 8x8 Bit Register / Thread 2 Stackeinträge / Thread P: 2 Bit PC B: 8 Bit Datenbus A: 8 Bit Adressbreite N=2, P=2, B=8, R=8, A=8, Diplomverteidigung: Steuer-Prozessor-Einheit Folie 5 von 2

16 Gatter ( Gate = 57µm²) Einführung» Prozessorsystem» Realisierungen» Verifikationsmethoden» Leistungsaufnahme» Zusammenfassung Realisierungen ELMOS 0,35µ-Standardzellen Integrationsgrad des MuTECs Bus Mux Steuerwerk ALU Scheduler Stack PC GPR MuTEC Thread Threads Threads Threads Diplomverteidigung: Steuer-Prozessor-Einheit Folie 6 von 2

17 4 Verifikationsmethoden. Verifizierung einzelner Komponenten (97,% Abdeckung) 2. Simulation von selbst-testenden-c-programmen (links) 3. FPGA-Validierung mit ADC-Steuerung MuTEC (Spartan 3) ADC void main(){ result = mul_c(6,6); } if ((256)!= result) return; // Fehler if (256 > result) return; // Fehler if (256 < result) return; // Fehler if (255 >= result) return; // Fehler if (257 <= result) return; // Fehler return; // Programmende Diplomverteidigung: Steuer-Prozessor-Einheit Folie 7 von 2

18 Verifikationsmethoden Kompilierung int main(){ }//#thread.c int main(){ }//#thread2.c int main(){ }//#thread3.c int main(){ }//#thread4.c Einsprungadressen Compiler Start Th. Start Th.2 Start Th.3 Start Th.4 Thread Thread2 Thread3 Thread4 Call #; Call #2; Call #3; Call #4; #:ASM; ASM; ASM; ASM; ASM; ASM; ASM; ASM; ASM; NOP; #2:ASM2; ASM2; ASM2; ASM2; #3:ASM3; ASM3; #4:ASM4; ASM4; Diplomverteidigung: Steuer-Prozessor-Einheit Folie 8 von 2

19 5 Leistungsaufnahme P / mw Ohne Gating Mit Gating normierte Abschätzung Pv V DD = 3,6V I DD = 9µA MHz 000 Gatter Threads P v N Gate V DD I DD f clk 000Gates MHz Diplomverteidigung: Steuer-Prozessor-Einheit Folie 9 von 2

20 6 Zusammenfassung Ergebnisse Funktionstüchtige Validierungsumgebung auf einem Spartan 3 FPGA mit maximal 3 MHz 0,35µm CMOS-Standardzellen Realisierung bis 8 MHz Normierte Stromaufnahme bei 3,6V: I DD = 9µA MHz 000 Gatter Ausblick Befehlssatzerweiterung Optimierungen (kritischer Pfad und Flächenbedarf) Compileroptimierung Praxisnahe Realisierungen: Glühkerzensteuerung DC-Motoransteuerung Diplomverteidigung: Steuer-Prozessor-Einheit Folie 20 von 2

21 Vielen Dank für die Aufmerksamkeit! Diplomverteidigung: Steuer-Prozessor-Einheit Folie 2 von 2

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Ein Computer zum Selbstbauen

Ein Computer zum Selbstbauen www. MyCPU.eu Ein Computer zum Selbstbauen von Dennis Kuschel Gliederung: 1. Was ist die MyCPU und warum existiert sie? 2. Architektur der MyCPU 3. Befehlssatz 4. Emulator 5. Software 6. Nachbau Was ist

Mehr

Das Prinzip an einem alltäglichen Beispiel

Das Prinzip an einem alltäglichen Beispiel 3.2 Pipelining Ziel: Performanzsteigerung é Prinzip der Fließbandverarbeitung é Probleme bei Fließbandverarbeitung BB TI I 3.2/1 Das Prinzip an einem alltäglichen Beispiel é Sie kommen aus dem Urlaub und

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44 Aufgabe 33 a) Der Pseudobefehl move $rd,$rs wird als addu $rd,$0,$rs übersetzt. Dabei macht sich SPIM zunutze, dass das Register $0 immer Null ist. Somit wird das Register $rd ersetzt durch $rd=0+$rs=$rs,

Mehr

Interrupts. Funktionsprinzip. Funktionsprinzip. Beispiel in C

Interrupts. Funktionsprinzip. Funktionsprinzip. Beispiel in C Interrupts Funktionsprinzip Interrupts bei ATmega128 Beispiel in C Funktionsprinzip 1 Was ist ein Interrupt? C muss auf Ereignisse reagieren können, z.b.: - jemand drückt eine Taste - USART hat Daten empfangen

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware.

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware. Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA Implementierung eines -Stacks in Hardware Dresden, Gliederung 1. Aufgabenstellung 2. Überblick 1. Allgemein 2. MAC 3. IP

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Autonome Mobile Systeme. Dr. Stefan Enderle

Autonome Mobile Systeme. Dr. Stefan Enderle Autonome Mobile Systeme Dr. Stefan Enderle 2. Mikrocontroller Einleitung Unterschied Controller / Prozessor: Speicher (RAM, Flash, Eprom) intern Viele I/Os (Digital, Analog) Bus-Unterstützung (Seriell,

Mehr

Sicheres C Programmieren in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011

Sicheres C Programmieren in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011 Sicheres C in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011 Dipl. Ing. (FH) Ebrecht Roland, Infineon Technologies AG M.Eng (Electronic Systems) Güller Markus, Infineon Technologies

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011 Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2003. 1 Ausblick. Erste

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

TBE332 Controller. Produktbeschreibung

TBE332 Controller. Produktbeschreibung TBE332 Controller Produktbeschreibung Bei der Entwicklung der TBE332 Steuerung wurde auf die Erfahrung unserer jahrelangen Planung und Realisierung verschiedenster Steuerungs- und Regelungseinrichtungen

Mehr

Neues vom STRIP Forth-Prozessor

Neues vom STRIP Forth-Prozessor Neues vom STRIP Forth-Prozessor Tagung der Forth-Gesellschaft April 2011 in Goslar Willi Stricker 1 STRIP Forth-System Praxisdemonstration Aufbau Hardware Aufbau Software 2 STRIP-Kernel Clocks So,S1 Clock

Mehr

Tutorial. Microcontroller. Grundlagen µc. Kapitel 1

Tutorial. Microcontroller. Grundlagen µc. Kapitel 1 Microcontroller Kapitel 1 Grundlagen µc µc Inhaltsverzeichnis 1 - Vorwort Seite 2 2 - Prinzipieller Programmablauf Seite 4 3 - ISR Interrupt Service Routine Seite 5 4 - Compiler Seite 7 5 - ATMega8 Seite

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Smart Metering: Entwicklung eines intelligenten Stromzählers

Smart Metering: Entwicklung eines intelligenten Stromzählers Smart Metering: Entwicklung eines intelligenten Stromzählers Boppard, Echtzeit 2010 Steffen Mauch Dirk Benyoucef 19. Nov. 2010 DCSP-Lab Gliederung 1 Kontext 2 Arbeit 3 Ergebnisse / Bewertung 4 Zusammenfassung

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Einführung in AVR-Assembler

Einführung in AVR-Assembler Einführung in AVR-Assembler Easterhack 2008 Chaos Computer Club Cologne Stefan Schürmans, BlinkenArea stefan@blinkenarea.org Version 1.0.4 Easterhack 2008 Einführung in AVR-Assembler 1 Inhalt Vorstellung

Mehr

Mikroprozessortechnik. 03. April 2012

Mikroprozessortechnik. 03. April 2012 Klausur 03. April 2012 Name:. Vorname Matr.-Nr:. Studiengang Hinweise: Bitte füllen Sie vor dem Bearbeiten der Aufgaben das Deckblatt sorgfältig aus. Die Klausur besteht aus 6 doppelseitig bedruckten Blättern.

Mehr

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG Fakultät Informatik, Inst. für Tech. Informatik, Prof. für VLSI-Entwurfssysteme, Diagnostik und Architektur Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme Kolloquium zum Mastermodul

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Entwiklunggehihte der Mikroprozeoren Jhr µp-typ 1. Genertion 1971 Intel 4004 4-Bit ALU, 16x4Bit Regiter, 12 Bit Adreu, 45 Befehle, 2250 Trnitoren 1972 Intel 8008 8-Bit ALU, 6x8 Bit Regiter, 14 Bit Adreu,

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH ARM Cortex-M Prozessoren Referat von Peter Voser Embedded Development GmbH SoC (System-on-Chip) www.embedded-development.ch 2 Instruction Sets ARM, Thumb, Thumb-2 32-bit ARM - verbesserte Rechenleistung

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Energieeffiziente Empfänger in Sensornetzwerken

Energieeffiziente Empfänger in Sensornetzwerken Fakultät Informatik, Institut für Angewandte Informatik, Professur für Technische Informationssysteme Energieeffiziente Empfänger in Sensornetzwerken Dresden, 09.01.2012 Motivation Wie kann man alle Geräte

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

Systeme I: Betriebssysteme Kapitel 4 Prozesse. Maren Bennewitz

Systeme I: Betriebssysteme Kapitel 4 Prozesse. Maren Bennewitz Systeme I: Betriebssysteme Kapitel 4 Prozesse Maren Bennewitz Version 20.11.2013 1 Begrüßung Heute ist Tag der offenen Tür Willkommen allen Schülerinnen und Schülern! 2 Wdhlg.: Attributinformationen in

Mehr

Programmierung von Mikrocontrollern am Beispiel des ATMEL ATmega32

Programmierung von Mikrocontrollern am Beispiel des ATMEL ATmega32 Fakultät Elektrotechnik und Informationstechnik, Professur für Prozessleittechnik Prozessinformationsverarbeitung (PIV) Programmierung von Mikrocontrollern am Beispiel des ATMEL ATmega32 Professur für

Mehr

HW/SW Codesign 5 - Performance

HW/SW Codesign 5 - Performance HW/SW Codesign 5 - Performance Martin Lechner e1026059 Computer Technology /29 Inhalt Was bedeutet Performance? Methoden zur Steigerung der Performance Einfluss der Kommunikation Hardware vs. Software

Mehr

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags.

0 C (Carry) Überlauf des 8ten Bits. 1 DC (Digit Carry) Überlauf des 4ten Bits. Mnemonic Parameter Beschreibung Status-Flags. 3. Assembler-Programmierung Der PIC 16F84A Microcontroller kennt 35 verschiedene Befehle. Für eine ausführliche Beschreibung aller Befehle siehe PIC16F84A-Datenblatt Kapitel 7.1. 3.1 Wichtige Flaggen im

Mehr

9.0 Komplexe Schaltwerke

9.0 Komplexe Schaltwerke 9.0 Komplexe Schaltwerke Die Ziele dieses Kapitels sind: Lernen komplexe Schaltwerke mittels kleinerer, kooperierender Schaltwerke zu realisieren Verstehen wie aufgabenspezifische Mikroprozessoren funktionieren

Mehr

Embedded OS für ARM Cortex Microcontroller

Embedded OS für ARM Cortex Microcontroller Embedded OS für ARM Cortex Microcontroller RTOS Design, Timinganalyse und Test mit Core Simulation und Hardware Debugger Entscheidende Fragen für oder gegen RTOS Lohnt sich der Einsatz eines RTOS auch

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 4 Prozessor Einzeltaktimplementierung Lothar Thiele Computer Engineering and Networks Laboratory Vorgehensweise 4 2 Prinzipieller Aufbau Datenpfad: Verarbeitung und Transport von

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Rechnerorganisation II 1. Übungsblatt

Rechnerorganisation II 1. Übungsblatt Rechnerorganisation II 1. Übungsblatt Entwerfen Sie eine möglichst einfache Schaltung auf Register-Transfer-Ebene für einen 16-Bit Minimalprozessor MPROZ mit folgenden Vorgaben: a) Schnittstellen des Prozessors

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations-

Mehr

Lösungsvorschlag zur 4. Übung

Lösungsvorschlag zur 4. Übung Prof. Frederik Armknecht Sascha Müller Daniel Mäurer Grundlagen der Informatik 3 Wintersemester 09/10 Lösungsvorschlag zur 4. Übung 1 Präsenzübungen 1.1 Schnelltest a) Welche Aussagen zu Bewertungskriterien

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Diplomarbeit Antrittsvortrag

Diplomarbeit Antrittsvortrag Diplomarbeit Antrittsvortrag Christian Müller Run-time byte code compilation, interpretation and optimization for Alice Betreuer: Guido Tack Verantwortlicher Prof.: Gert Smolka Die nächsten 15 Minuten...

Mehr

Technische Informatik 2: Addressierung und Befehle

Technische Informatik 2: Addressierung und Befehle Technische Informatik 2: Addressierung und Befehle Memory Map Programm Speicher: Adresse $000-$FFF max. 4096 Byte für kompiliertes Programm Data Memory: Adresse $0000-$FFFF 32 8Bit Register 64 I/O Register

Mehr

Multicore Herausforderungen an das Software-Engineering. Prof. Dr.-Ing. Michael Uelschen Hochschule Osnabrück 15.09.2010

Multicore Herausforderungen an das Software-Engineering. Prof. Dr.-Ing. Michael Uelschen Hochschule Osnabrück 15.09.2010 Multicore Herausforderungen an das Software-Engineering Prof. Dr.-Ing. Michael Uelschen Hochschule Osnabrück 15.09.2010 Inhalt _ Motivation _ Herausforderung 1: Hardware _ Herausforderung 2: Software-Partitionierung

Mehr

Programmierung 2. Übersetzer: Code-Erzeugung. Sebastian Hack. Klaas Boesche. Sommersemester 2012. hack@cs.uni-saarland.de. boesche@cs.uni-saarland.

Programmierung 2. Übersetzer: Code-Erzeugung. Sebastian Hack. Klaas Boesche. Sommersemester 2012. hack@cs.uni-saarland.de. boesche@cs.uni-saarland. 1 Programmierung 2 Übersetzer: Code-Erzeugung Sebastian Hack hack@cs.uni-saarland.de Klaas Boesche boesche@cs.uni-saarland.de Sommersemester 2012 Bytecodes Der Java Übersetzer erzeugt keine Maschinensprache

Mehr

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Embedded Prozessoren vs. X86er Derivate DSP vs. FPGA vs. GPP wer ist geeigneter

Mehr

2. Einführung/Assembler Programmierung. 2.1 Einführung. 2.1.1 Übersicht

2. Einführung/Assembler Programmierung. 2.1 Einführung. 2.1.1 Übersicht 2. Einführung/Assembler Programmierung Es soll eine einfache Einführung in die Fuktionsweise des PIC16F84A gegeben werden. Anhand eines Beispielprogramms werden einige grundlegende Assemblerbefehle besprochen.

Mehr

Wer in der Grundschule ein wenig aufgepasst hat, sollte in der Lage sein schriftlich eine Zahl durch eine zweite zu teilen.

Wer in der Grundschule ein wenig aufgepasst hat, sollte in der Lage sein schriftlich eine Zahl durch eine zweite zu teilen. Teilen binär Teil 1 - Vorzeichenlose Ganzzahlen ============ Irgendwann steht jeder Programmieren vor diesem Problem. Wie teile ich eine Binärzahl durch eine zweite? Wer in der Grundschule ein wenig aufgepasst

Mehr

Interrupt-Programmierung

Interrupt-Programmierung Interrupt-Programmierung Am Beispiel des ATMEGA16 Microcontrollers Beispiel: Messung der Betriebszeit Die Betriebszeit zeigt an, wie lange der Rechner seit dem Booten läuft Hier: Aktualisierung der Betriebszeit

Mehr

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Hybride Apps DPR und Android auf dem Xilinx ZYNQ Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Konvergenz der Rechenplattformen Processing System Memory Interfaces 7 Series Programmable

Mehr

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs Programmieren 9.1.11 Microcontroller Kurs/Johannes Fuchs 1 General Purpose Input Output (GPIO) Jeder der Pins der vier I/O Ports kann als Eingabe- oder Ausgabe-leitung benutzt werden.

Mehr

Vorlesungsziele. Mit gängigen Begriffen etwas anfangen können. In der Lage sein, die Architektur von Mikroprozessoren zu verstehen

Vorlesungsziele. Mit gängigen Begriffen etwas anfangen können. In der Lage sein, die Architektur von Mikroprozessoren zu verstehen Vorlesungsziele Mit gängigen Begriffen etwas anfangen können In der Lage sein, die Architektur von Mikroprozessoren zu verstehen Die Grundkomponenten eines Mikroprozessor - Systems und ihr Zusammenwirken

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Microcontroller Architectures and Examples

Microcontroller Architectures and Examples Microcontroller Architectures and Examples Thomas Basmer telefon: 0335 5625 334 fax: 0335 5625 671 e-mail: basmer [ at ] ihp-microelectronics.com web: Outline Microcontroller in general Introduction Main

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

Überblick über Java-Umgebungen auf Embedded-Plattformen

Überblick über Java-Umgebungen auf Embedded-Plattformen Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme Überblick über Java-Umgebungen auf Tony Müller Dresden, 12.12.2011 Inhalt 1 Einleitung 2 Java-Plattform

Mehr

Aufbau und Funktionsweise eines Computers

Aufbau und Funktionsweise eines Computers Aufbau und Funktionsweise eines Computers Thomas Röfer Hardware und Software von Neumann Architektur Schichtenmodell der Software Zahlsysteme Repräsentation von Daten im Computer Hardware Prozessor (CPU)

Mehr

Multitasking / virtuelle Maschinen mittels Atmel AVR- Mikrocontrollern (Simple & Stupid)

Multitasking / virtuelle Maschinen mittels Atmel AVR- Mikrocontrollern (Simple & Stupid) VM/AVR SIMPLE & STUPID 1 Multitasking / virtuelle Maschinen mittels Atmel AVR- Mikrocontrollern (Simple & Stupid) Stand: 26. 1. 2010 Zweck: Elementare Demonstration der Mehrprogrammausführung auf Grundlage

Mehr

ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION

ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION ITG-Fachgruppentreffen, Dresden, 12. Oktober 2012 Jens Döge und Peter Reichel, Fraunhofer IIS/EAS Dresden Fraunhofer

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Befehlssatz AVR RISC Controller

Befehlssatz AVR RISC Controller Befehlssatz AVR RISC Controller Design-Philosophie des AVR Befehlssatzes Assembler-Sprache AVR-Befehlssatz Philosophie RISC = Reduced Instruction Set Computing keine komplexen Befehle möglichst symmetrischer

Mehr

Fehlerkorrektur Bild 3.190 Demoprozessor

Fehlerkorrektur Bild 3.190 Demoprozessor 7 Prozessor 3 0 Flags C V N Z A IP 0 SP AB 8 MS W/R DB 4 00h..6Fh Daten Speicher 70h..70h PA 71h..71h PB 72h..73h PC 74h..76h PD 80h..FFh Programm Speicher Fehlerkorrektur Bild 3.190 Demoprozessor Die

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

Lebensdauersimulation für Steuergeräte mit

Lebensdauersimulation für Steuergeräte mit Lebensdauersimulation für Steuergeräte mit NI Single-Board RIO Entwicklung eines Simulationskonzepts im Rahmen der weltweiten Standardisierung eines Automobilzulieferers, basierend auf bewährten Technologien,

Mehr

Mikroprozessor - Simulation. Anleitung zur Versuchsvorbereitung und Versuchsdurchführung

Mikroprozessor - Simulation. Anleitung zur Versuchsvorbereitung und Versuchsdurchführung Humboldt-Universität zu Berlin, Institut für Informatik Praktikum Digitale Systeme Versuch MP-SIM Ausgabe 18.06.2012 1. Versuchsziele Mikroprozessor - Simulation Anleitung zur Versuchsvorbereitung und

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Debugging von Embedded Designs mit Mixed-Signal-Oszilloskopen. Sylvia Reitz Produktmanagerin Oszilloskope Rohde & Schwarz GmbH & Co KG Oktober 2014

Debugging von Embedded Designs mit Mixed-Signal-Oszilloskopen. Sylvia Reitz Produktmanagerin Oszilloskope Rohde & Schwarz GmbH & Co KG Oktober 2014 Debugging von Embedded Designs mit Mixed-Signal-Oszilloskopen Sylvia Reitz Produktmanagerin Oszilloskope Rohde & Schwarz GmbH & Co KG Oktober 2014 Agenda ı Was sind Embedded Designs? ı Welche Vorteile

Mehr

1. Übung - Einführung/Rechnerarchitektur

1. Übung - Einführung/Rechnerarchitektur 1. Übung - Einführung/Rechnerarchitektur Informatik I für Verkehrsingenieure Aufgaben inkl. Beispiellösungen 1. Aufgabe: Was ist Hard- bzw. Software? a Computermaus b Betriebssystem c Drucker d Internetbrowser

Mehr

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013

x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 x86 Assembler Praktische Einführung Sebastian Lackner Michael Müller 3. Juni 2013 1 / 53 Inhaltsverzeichnis 1 Einführung 2 Assembler Syntax, Register und Flags 3 Hauptspeicher 4 Stack 5 Assemblerbefehle

Mehr

White Paper. Embedded Treiberframework. Einführung

White Paper. Embedded Treiberframework. Einführung Embedded Treiberframework Einführung White Paper Dieses White Paper beschreibt die Architektur einer Laufzeitumgebung für Gerätetreiber im embedded Umfeld. Dieses Treiberframework ist dabei auf jede embedded

Mehr

Zellulare Neuronale Netzwerke

Zellulare Neuronale Netzwerke Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Zellulare Neuronale Netzwerke Florian Bilstein Dresden, 13.06.2012 Gliederung 1.

Mehr

Assembler-Programmierung

Assembler-Programmierung Assembler-Programmierung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Assembler-Programmierung 1/48 2012-02-29 Assembler-Programmierung

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Embedded PCs / Embedded Systems. Stromversorgung / Power-Management. Embedded PC

Embedded PCs / Embedded Systems. Stromversorgung / Power-Management. Embedded PC Embedded PCs / Embedded Systems Stromversorgung / Power-Management Sensoren elektrisch optisch thermisch mechanisch magnetisch chemisch biologisch Aktoren Analog-/Digitalhardware Powerfail Speicher Watchdog

Mehr

Maschinenorientierte Programmierung

Maschinenorientierte Programmierung Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 2 Termin 10, 08.12.2015 Maschinenorientierte Programmierung Seite 2 Timer- und Counter-Programmierung Maschinenorientierte

Mehr

Embedded Linux gnublin Board Programmieren Sonstiges. Embedded Linux am Beispiel des Gnublin-Boards

Embedded Linux gnublin Board Programmieren Sonstiges. Embedded Linux am Beispiel des Gnublin-Boards Embedded Linux am Beispiel des Gnublin-Boards Was ist Embedded Linux? Wikipedia Als Embedded Linux bezeichnet man ein eingebettetes System mit einem auf dem Linux-Kernel basierenden Betriebssystem. In

Mehr

Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen

Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen Echtzeitbetriebssysteme (am Beispiel QNX) Dr. Stefan Enderle HS Esslingen 1. Einführung 1.1 Embedded Systeme Embedded Systeme besitzen / benutzen einen Mikrocontroller Embedded Systeme erfüllen meist eine

Mehr

Der 80535-Übungsrechner

Der 80535-Übungsrechner 6. Für den Test kleinerer Programmodule ohne Berücksichtigung des Echtzeitverhaltens ist der Simulator das geeignete Werkzeug. Durch die Möglichkeit der Einzelschrittausführung sind erste Tests von Programmen

Mehr