Schuljahr 2003/2004 EDT 5. ABETL / 6. ABETL. die Schule der Technik EDT. Elektronik und Digital Technik

Größe: px
Ab Seite anzeigen:

Download "Schuljahr 2003/2004 EDT 5. ABETL / 6. ABETL. die Schule der Technik EDT. Elektronik und Digital Technik"

Transkript

1 die Schule der Technik EDT Elektronik und Digital Technik Mitschrift von Andreas Hofer unterrichtender Lehrer Thomas Wimmer Andreas Hofer Seite 1 von 33 aktualisiert am

2 AD, DA Wandler...4 Pregelrechnung:...4 Unipolare, Bipolare Wandler...5 Symmetrische, unsymmetrische Wandler...5 2er Komplement...6 Analog Digital Wandler...7 Parallel Konverter...7 direktes Umwandlungsverfahren:...7 Der Integrator:...7 Incremental ADC...9 Sukzenssive Approximation (Waage Verfahren)...9 Dual Slope Converter...10 Digital Analog Wandler...12 OPV als Addierer:...12 Info zur Regelung:...13 Funktion des Diodenschalters: Konstant - Stromquelle...13 DAU mit gewichteten Widerständen...13 DAU mit Leiternetzwerk:...14 DAU mit gewichteten Stromquellen...15 Bauteil Familie...16 Multiemittertransitor:...16 TTL (Transistor Transistor Logik)...16 Low-Power TTL...18 Schottky TTL...18 Low-Power-Schottky TTL...18 Mosfet`s P-Mosfet:...19 C-Mosfet:...20 Feldeffekt Transistoren...21 Speerschicht FET (n-knanal-fet):...21 MOS-Fet (Metalloxid-Semicondictor):...22 n-kanal-ausreicherungstyp...22 n-kanal-verarmungstypen...22 Pegel bei Cmos...23 Codierung:...23 Rechenwerke:...24 Halbaddierer:...24 Volladdierer: Bit Parallel Addierer...24 Serieller Addierer:...24 Schieberegister: Bit-Addier-Subtrahier-Werk...25 Multiplizierschaltung Bit Multiplizierer Bit Multiplizierer...26 Flip Flops (1 Bit Speicher)...26 RS Flip Flop...26 JK FF (nur takt flankengesteuert)...27 D FF (Prinzip ein Zwischenspeicher)...27 Andreas Hofer Seite 2 von 33 aktualisiert am

3 JK Master Slave - FF...27 Mikrocontroller...28 Zentraleinheit (CPU central processing unit)...28 Zentralspeichereinheit...28 Ein und Ausgabe Einheit (I/O Unit)...28 Bussystem...28 Ablauf eines Programms:...29 Adress-Decodierung aus n-decoder (1 aus 4 Dec)...30 Aufgabe:...30 Teststoff Aufgabe: Adressdecodierung:...31 Architekturen...33 Havard Architektur...33 Von Neumann Architektur...33 Steuerleitungen...33 Andreas Hofer Seite 3 von 33 aktualisiert am

4 AD, DA WANDLER analog: digital: Quantisierung: Auflösung: Genauigkeit: In einem definierten Interwall ist jeder beliebige Wert möglich. nur bestimmte Werte sind möglich Zuordnung zu einem bestimmten Zahlenwert die Feinheit der Quantisierung wie sicher stimmt der angezeigt Wert Wie kommt man von einem analogen zum digitalen Signal: 1.) analoges Signal 2.) Einfrieren des Signals 3.) Quantisierung digitales Signal 4.) Codierung binäres Signal Das Rauschen nimmt mit 6dB pro Bit ab (Rauschleistung). Quantisierungsfehler ist ein zufälliger Prozess. Pregelrechnung: P2 Eingangsleistung P 1 : vp = P1 P2 Ausgangsleistung P 2 : vp[ db] = 10 log Leistungspegel P1 U 2² R U 2² U 2 10 log = 10 log = 20 log = vu[ db] R U 1² U 1² U 1 Andreas Hofer Seite 4 von 33 aktualisiert am

5 bei fg: U U 2 1 = 1 2 vu[ db] 3 = db vp[ db] = 6dB LSB: least significant bit UAmax 1 LSB = n Anzahl der Bits n 2 MSB: most significant bit UAmax 1 MSB = 2 U FR Full Range = U Amax U FS Full Scale Spannung (Nennspannung) = UFS UAMAX n 1LSB n 2 U max = U U max = E FS 1 E UFR = 2 1 LSB Info: Bei 8 Bit hat man 256 Stufen. Wenn man den Wert 0 die Stelle Null zuweist, bleiben nach oben 255 Stufen frei. Wichtig: Bei DAC: U Amax Bei DAC: U Amax Unipolare, Bipolare Wandler Unipolar: nur Eingangsgrößen, Ausgangsgrößen mit einem Vorzeichen (Positiv oder Negativ) Bipolar: Eingangs- und Ausgangsgrößen mit beiden Vorzeichen möglich (Positiv und Negativ) Symmetrische, unsymmetrische Wandler Symmetrische Wandler: Der Wert 0 kann nicht angezeigt werden Andreas Hofer Seite 5 von 33 aktualisiert am

6 unsymmetrische Wandler: Der Wert 0 kann angezeigt werden 2er Komplement er Komplement ) Zahlen invertieren ) 1 addieren er Kompl. 1.) ) 1001 zum 2er Komplett wird 1 addiert Übertrag, positive Zahl 1 steht für eine positive Zahl er Kompl. 1.) ) 0011 zum 2er Komplett wird 1 addiert Übertrag, negative Zahl 0110 = 6 Andreas Hofer Seite 6 von 33 aktualisiert am

7 ANALOG DIGITAL WANDLER Parallel Konverter direktes Umwandlungsverfahren: Komperator: U a 1.) U e < U ref => U a = 0V (log 0 ) 2.) U e > U ref => U a = +U B (log 1 ) Vorteil: sehr schnell (AD 9012 Umsetzzeit von 10 ns), Referenzspannung ist beliebig wählbar, Nichtlineare Quantisierungslinien leicht realisierbar Nachteil: teuer, hoher Hardwareaufwand (2 n -1 Komparatoren) Der Integrator: U e Ue Ie = R = 1 uc C i dt T uc = Ie dt = Ie dt = Ie T C C C uc = 1 Ue T = Ua R C 0 = Andreas Hofer Seite 7 von 33 aktualisiert am

8 Andreas Hofer Seite 8 von 33 aktualisiert am

9 Incremental ADC Prinzip: Die Eingangsspannung wird mit einer Treppenspannung (U v ) verglichen. Die Treppenspannung wird von einem DA-Wandler, der von einem Zähler angesteuert wird erzeugt. Wenn UV UE, dann stoppt der Zähler => Ergebnis ist der Zählwert. 10 ADC, Taktgenerator 1 MGH Wie lange dauert eine Umsetzung maximal? Welche maximale Signalfrequenz kann digitalisiert werden? Stufen = 1024 T = =1 µ s => Gesamtdauer 1ms = 1 khz = fa f fa max. Signalfrequenz fs = 500Hz 2 = Nachteil: nur für langsame veränderliche Signale geeignet genauer, linearer DAC notwendig Vorteil: der Hardware Aufwand ist gering Sukzenssive Approximation (Waage Verfahren) Das Eingangssignal wird mit gewichteten Spannungen verglichen. 1.) MSB wird gesetzt => Vergleich U E MSB U E < MSB: Bit wird gesetzt U E > MSB: Bit wird gelöst 2.) MSB -1 wird gesetzt => Vergleich U E MSB U E < MSB: Bit wird gesetzt U E > MSB: Bit wird gelöst 3.) MSB -2 wird gesetzt => Vergleich U E MSB U E < MSB: Bit wird gesetzt U E > MSB: Bit wird gelöst 4.) MSB -3 wird gesetzt => Vergleich U E MSB U E < MSB: Bit wird gesetzt U E > MSB: Bit wird gelöst 5.) : : n.) LSB wird gesetzt => Vergleich U E MSB U E < LSB: Bit wird gesetzt U E > LSB: Bit wird gelöst Andreas Hofer Seite 9 von 33 aktualisiert am

10 1 Abgleich bei n-bit Auflösung => n-takte Weit verbreitetste ADC Umwandlungszeiten 100ns Dual Slope Converter 1.) Eingangssignal wird T 1 lang integriert (T 1 ist immer gleich) 2.) Schalter wird umgelegt 3.) Der Kondensator entlädt sich über U ref (T 2 ) T 2 ~ U e Andreas Hofer Seite 10 von 33 aktualisiert am

11 Funktion: Die Eingangspannung bewirkt auf den Integrator eine fallende Flanke. Mit dieser Eingangspannung wird eine bestimmte Zeit definiert. Des Weiteren gibt es eine konstante U Ref Spannung. Wenn das Eingangsspannung vom Eingang auf die U Ref Umgeschalten wird, entladet sich der Kondensator. Die Zeit, welche der Kondensator für die Entladung benötigt, ist proportional zur Eingangsspannung. Diese Zeit wird ergibt am Zähler eine Zahl, welche das Ergebnis bedeutet. U max max. Spannung des Integrators. U max n max Zählimpulse T 2 = n max T U i T 2 = n. T U max n max = 10 bit = n max = 1024 Ui n Andreas Hofer Seite 11 von 33 aktualisiert am

12 Ungenauigkeiten des Taktgebers kompensieren sich U ref muss genau sein für langsame Signale und genaue Messungen 50 Hz, 60 Hz Fehler können kompensiert werden 50 Hz: 20 ms 60 Hz: 16,6 ms Einlesezeit n. 100ms Tolleranzen gehen nicht ins Ergebnis ein. Älterungsänderungen werden kompensiert. DIGITAL ANALOG WANDLER OPV als Addierer: ( U U ) Ua = + V 0 (10 U > U + negative Spannung I I 1 U = R1 Ue R e1 e1 ges = I 1 + I 2 = + 1 I 5 ) U R Ue2 R2 Ue1 Ue + R1 R = U R3 = Iges R3 = R3 = ( Ue1 + Ue2) R R Andreas Hofer Seite 12 von 33 aktualisiert am

13 Info zur Regelung: UA = ( U U ) v + 0 (10) 5 U > U + negative Spannung am Ausgang 1.) ue = 0, ua = 0, U = 0 2.) ue > 0, ua = 0, U > 0 3.) ue > 0, ua < 0, U 0 4.) ue > 0, ua = UB, U < 0 Funktion des Diodenschalters: Konstant - Stromquelle DAU mit gewichteten Widerständen OPV als Addierer S1 S4 wird das jeweilige Bit dazugeschaltet Die Widerstände sind in 2er Potenzen gewichtet => I 0, 2I 0, 4I 0, Uref I e = RV Der Strom I ist unabhängig vom Widerstand im Emitter. Unabhängig von der Last Vorteil: sehr einfacher Aufbau Nachteil: verschiedene Widerstände notwendig, Toleranzen der Widerstände Andreas Hofer Seite 13 von 33 aktualisiert am

14 Uref I 0 = Ua = I 0 RK R0 Uref I 1 2 = 2 I 0 Ua I R R0 I 0 + I 1 = 3 I 0 Ua = 3 I 0 RK I 2 = 4 I 0 Ua = 4 I 0 RK I 2 + I 0 = 5 I 0 = = 1 K = 2 I 0 RK DAU mit Leiternetzwerk: OPV als Addierer Vorteil: Widerstände nur mit R und 2R Nachteil: Elektronische Schalter mit Übergangswiderstand (~100Ω) Andreas Hofer Seite 14 von 33 aktualisiert am

15 DAU mit gewichteten Stromquellen Stromkonstantquellen, Ströme werden über ein R -2R- Netzwerk geteilt. Diodenschalter OPV als Addierer Vorteil: schnelle Schaltvorgänge (Shottky-Dioden), hohe Stabilität Nachteil: erhöhter Schaltungsaufbau Andreas Hofer Seite 15 von 33 aktualisiert am

16 BAUTEIL FAMILIE Multiemittertransitor: Transistor im inversen Betrieb. zu Bild 6.46: ~ 0,7V 0,2V Krichhoff: U R4 + U Satt = U BE + U R2 0,7V U S 0,9V TTL (Transistor Transistor Logik) Andreas Hofer Seite 16 von 33 aktualisiert am

17 Funktion: Am Eingang => Multiemitter (Transistor mit mehreren Emittern) Alle Eingänge auf High => Eingangstransitor T 1 wird invers betreiben. Basisstrom von T 2 kann über T1 und B-C-Diode von T 1 fließen => T 2 leitet => Spannungsabfall an R 3 > 0,7 V => T 4 leitet => Z auf Low T 3 sperrt (D 1 verhindert, dass U BE von T 3 > 0,7 V Ein Eingang auf Low => T 1 leitet => U BE von T 2 = 0,2 V => T 2 sperrt. T 3 wird über R 2 leitend => Ausgang auf High Pegel Diagramm: Ausgangströme: 16 ma bei Low max. 700 µa bei High max. Eingangströme: 1,6 ma bei Low max. 40 µa bei High max. FAN OUT: Wie viele Eingänge derselben Familie an einem Ausgang angeschlossen werden dürfen. Schaltzeiten: ~ 10 ns Andreas Hofer Seite 17 von 33 aktualisiert am

18 Low-Power TTL ähnlich wie TTL. Alle Widerstände sind aber um den Faktor 10 höher. Vorteil: geringere Verlustleitung Nachteil: längere Schaltzeiten (ca ns) Schottky TTL Low-Power-Schottky TTL Schottky Diode (DU ~ 0,3 V) => schnellere Schaltzeiten. +U B Andreas Hofer Seite 18 von 33 aktualisiert am

19 MOSFET`S -P-Mosfet: U G > U S leiten U G = U S sperren selbstsperrend: U GS = 0 T spert selbstleitend: U GS > 0 T leitet selbstsleitender P-Kanal Fet selbstssperrender N-Kanal Fet Andreas Hofer Seite 19 von 33 aktualisiert am

20 C-Mosfet: Andreas Hofer Seite 20 von 33 aktualisiert am

21 FELDEFFEKT TRANSISTOREN Speerschicht FET (n-knanal-fet): G D S n-kanal G D S p-kanal Andreas Hofer Seite 21 von 33 aktualisiert am

22 MOS-Fet (Metalloxid-Semicondictor): n-kanal-ausreicherungstyp G G D S D S n-kanal p-kanal n-kanal-verarmungstypen Andreas Hofer Seite 22 von 33 aktualisiert am

23 G G D S D S n-kanal p-kanal Pegel bei Cmos geringer Taktfrequenzen gegeben über TTL (hochohmig, kapazitiv) geringere Leistung (Eingangswiderstand GΩ) mit steigender Frequenz steigt die Verlustleistung Bei maximalen Strom bzw. Spannung ist die Leistung null. Je höher die angelegte Frequenz ist, desto öfter wird die Leistungsgerade durchlaufen. Dadurch erhöht sich im Mittel die Verlustleistung. Codierung: L - 0V H ± 5V Andreas Hofer Seite 23 von 33 aktualisiert am

24 RECHENWERKE: Halbaddierer: addiert 2 Bit b 1 b 2 C siehe Buch Seite 462 Volladdierer: addiert 2 Bit + 1C b 1 b 2 C C b 1 b 0 C C 1 C 0 Carry In Carry Out siehe Buch Seite Bit Parallel Addierer siehe Buch Seite: 467 Serieller Addierer: siehe Buch Seite: 468 Andreas Hofer Seite 24 von 33 aktualisiert am

25 Schieberegister: siehe Buch Seite S.468 F F 0 First In First Out getaktet Schieberegister A und B werden parallel mit den Summanten beschreiben. 1. Takt: a 0, b 0 werden addiert Ergebnis wird in ein Schieberegister ausgegeben. Ein etwaiges Carry wird im Flip-Flop zwischengespeichert. 2. Takt: a 1, b 1 und Übertrag werden addiert. Ergebnis wird in ein Schieberegister ausgegeben. Ein etwaiges Carry wird im Flip-Flop zwischengespeichert. 3. Takt: a 2, b 2 und Übertrag werden addiert. 4. Takt: Ergebnis steht im Schieberegister. Der Übertrag wird durch das Flip-Flop ausgegeben. 4 Bit-Addier-Subtrahier-Werk Siehe Buch Seite 477, Bild Subtraktion mit 2er Kompl er Kompl.: pos. Zahl neg. Zahl 0110 XOR: E 1 E 0 A Andreas Hofer Seite 25 von 33 aktualisiert am

26 MULTIPLIZIERSCHALTUNG 2 Bit Multiplizierer siehe Buch Seite 481, Bild Bit Multiplizierer siehe Buch Seite 481, Bild mit dem niedersten beginnen _ _ _ FLIP FLOPS (1 BIT SPEICHER) taktgesteuert takt zustandsgesteuert takt flankengesteuert einflankengesteuert 2- flankengesteuert Master Slave - FF RS Flip Flop R S Q 0 0 Q speichern setzen rücksetzen 1 1 x verbotener Zustand Andreas Hofer Seite 26 von 33 aktualisiert am

27 kann Zustand nur ändern, wenn Gate G = 1 (takt zustandsgesteuert) Bei G = 0 passiert keine Änderung. taktflankengesteuert steigende Taktflanke fallende Taktflanke Ausgang kann sich nur bei einer steigenden Flanke verändern. Vorteil: definierte Schaltzustände, große Störsicherheit JK FF (nur takt flankengesteuert) J K Q n +1 J setzen 0 0 Q n K Rücksetzen n Ausgang vor der Flanke n+1 Ausgang nach der Flanke 1 1 Q n D FF (Prinzip ein Zwischenspeicher) D Q n JK Master Slave - FF spezielle Steuerung (Rückkopplung) Andreas Hofer Seite 27 von 33 aktualisiert am

28 MIKROCONTROLLER Ist eine vollständige Rechen- und Steuereinheit, die als Single Chip realisiert wird. Bild Buch Mikrporzessor Seite, 1.3 Zentraleinheit (CPU central processing unit) Schaltzentrale Aufgabe der CPU: Ablaufsteuerung der Programme (passiert Schaltwerk) Datenbearbeitung (log. oder arithmetische Funktionen) (passiert im Rechenwerk, ALU arithmetic logical unit) Zentralspeichereinheit Programmspeicher (ROM, permanent) Datenspeicher (RAM, dynamisch) (RAM random access memory) Ein und Ausgabe Einheit (I/O Unit) Ports Adressleitungen zu externen Speichern Datenleitungen zu externen Speichern Eingang für A/D Wandler Bussystem Ein Bus ist ein Bündel von Leitungen, die von verschiedenen Einheiten genützt werden. Datenbus dient zum Übertragen der Daten zwischen den Einheiten (bidirektional, jede Unit kann lesen und schreiben) Adressbus dient zum Adressieren von Speicher oder I/O Units (unidirektional). Steuerbus dient zur Ansteuerung der Units (read, write, Lesen aus dem Programmspeicher ) Ausgang: 3 Zustände: HI, LO, high Z (diese Zustände werden auch Tristate genannt) OE Output Enable (werden z.b. über die Steuerleitung aktiviert) Bild Buch Mikrporzessor Seite, 1.4 Bild Buch Mikrporzessor Seite, 1.5 Andreas Hofer Seite 28 von 33 aktualisiert am

29 Ablauf eines Programms: Programmzähler ( Programm- Counter PC): zeit auf den nächsten Befehl im Code Memory. 16 Bit Breite (537 bei Reset: PC wird auf null gesetzt => definierter Anfangszustand aus der Adresse null wird der 1. Befehl gelesen. (Inhalt von PC wird auf den Adressbus gelegt.) Befehl gelangt über den Datenbus in die CPU (Befehlswerk). Die Bits des Befehls (8Bit) schalten bestimmte Funktionen. nachdem der Befehl ausgeführt wurde, wird der PC (Program - counter) um eins erhöht. nächster Befehl wird geholt zu Bild 2.1 / Seite 29: Warum Active Low: ist man auf der sicheren Seite weil: offene Eingänge sind prinzipiell high! und wegen der Störungen Offene Ausgänge soll man über einen Widerstand auf Masse hängen, weil offene Ausgänge bei hohen Frequenzen sich wie ein Sender verhalten! ADRESS-DECODIERUNG Computersystem 6 Bit Adressleitungen (max. 64 Adressen) 16x1 Byte Speicherbausteine => 4 Speicherelemente Speicher 0 Speicher 1 Speicher 2 Speicher F 10 1F 20 2F 30 3F Andreas Hofer Seite 29 von 33 aktualisiert am

30 1 aus n-decoder (1 aus 4 Dec) A1 A0 aktiv 0 0 Q Q Q Q 3 Aufgabe: 16 Bit- Datenbus Speicher mit 32 Adr. und 1 Byte Breite 00 h binär 1F h binär 20 h binär 3F h binär Buchseite: 33, Bild: 2.3 Buchseite: 35, Bild 2.4a Buchseite: 36, Bild 2.5 Fehler im Bild 2.5: Es fehlt der 1 bei A0, erster E/A Baustein und bei A1 gehört 0. Beim zweiten E/A Baustein muss A0 = 1 sein und A1 = 0 Buchseite: 35, Bild 2.6 Andreas Hofer Seite 30 von 33 aktualisiert am

31 TESTSTOFF AD Wandler Flashwandler (Parallel Konverter,, Rechenbeispiel, max DA Wandler Bauteilkunde, Logikfamilien TTL & CMOS generelle Eigenschaften, kein Innenleben Adressdecodierung (ca. 40 Punkte von 100) kein FET Aufgabe: Adressdecodierung: 16 Bit-System (16 Adressleitungen) Datenbusbreite von 16 Bit 8 Stk. 8 k / 8 Bit-Speicher 2 I/O Einheiten Speicher belegen den unteren Adressbereich I/O Einheiten sollen Adressbereiche über den Speicher belegen Adressplannung: 2 13 = 8192 = 8k (A0 A12) D0-D15 Andreas Hofer Seite 31 von 33 aktualisiert am

32 Speicher A A A A A A A A A A A A A A A A FFF FFF FFF FFF I/O CFFF 1 0 x x x x x x x x x x x x x x 2 C000-FFFF 1 1 x x x x x x x x x x x x x x Andreas Hofer Seite 32 von 33 aktualisiert am

33 ARCHITEKTUREN Havard Architektur Strenge Trennung zwischen Programm und Datenspeicher (Arbeitsspeicher) ROM und RAM Der Adressbereich kann doppelt vergeben werden. Von Neumann Architektur gemeinsamer Adressraum, daher kann der Adressbereich beliebig verteilt werden. Steuerleitungen PSEN...Program Storage Enable...aktiv, wenn µc Befehle liest (Programmspeicher) RD...Read...aktiv, wenn µc aus dem Datenspeicher liest WR...write...aktiv, wenn µc in den Datenspeicher schreibt Buch Seite 79 Andreas Hofer Seite 33 von 33 aktualisiert am

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Bei Aufgaben, die mit einem * gekennzeichnet sind, können Sie neu ansetzen.

Bei Aufgaben, die mit einem * gekennzeichnet sind, können Sie neu ansetzen. Name: Elektrotechnik Mechatronik Abschlussprüfung E/ME-BAC/DIPL Elektronische Bauelemente SS2012 Prüfungstermin: Prüfer: Hilfsmittel: 18.7.2012 (90 Minuten) Prof. Dr.-Ing. Großmann, Prof. Dr. Frey Taschenrechner

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Prozess-rechner. auch im Büro. Automation und Prozessrechentechnik. Prozessrechner. Sommersemester 2011. Prozess I/O. zu und von anderen Rechnern

Prozess-rechner. auch im Büro. Automation und Prozessrechentechnik. Prozessrechner. Sommersemester 2011. Prozess I/O. zu und von anderen Rechnern Automation und Prozessrechentechnik Sommersemester 20 Prozess I/O Prozessrechner Selbstüberwachung zu und von anderen Rechnern Prozessrechner speziell Prozessrechner auch im Büro D A D A binäre I/O (Kontakte,

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

1 Aufgaben zu Wie funktioniert ein Computer?

1 Aufgaben zu Wie funktioniert ein Computer? 71 1 Aufgaben zu Wie funktioniert ein Computer? Netzteil a) Welche Spannungen werden von PC-Netzteilen bereitgestellt? 3.3 V, 5 V, 12 V, -5 V, -12 V. b) Warum können PC-Netzteile hohe Leistungen liefern,

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Kon o d n e d ns n ator Klasse A Klasse A (Ergänzung) Norbert - DK6NF

Kon o d n e d ns n ator Klasse A Klasse A (Ergänzung) Norbert - DK6NF Kondensator Klasse (Ergänzung) Norbert - K6NF usgewählte Prüfungsfragen T202 Welchen zeitlichen Verlauf hat die Spannung an einem entladenen Kondensator, wenn dieser über einen Widerstand an eine Gleichspannungsquelle

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Betriebsanleitung Modbus-Konverter Unigate-CL-RS

Betriebsanleitung Modbus-Konverter Unigate-CL-RS BA_MODBUS-Gateway 2011-12-16.doc, Stand: 16.12.2011 (Technische Änderungen vorbehalten) 1 Einleitung Das Unigate-CL-RS Modul fungiert als Gateway zwischen der ExTox RS232 Schnittstelle und dem Kundenbussystem

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Kennlinienaufnahme elektronische Bauelemente

Kennlinienaufnahme elektronische Bauelemente Messtechnik-Praktikum 06.05.08 Kennlinienaufnahme elektronische Bauelemente Silvio Fuchs & Simon Stützer 1 Augabenstellung 1. a) Bauen Sie eine Schaltung zur Aufnahme einer Strom-Spannungs-Kennlinie eines

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

1. Theorie: Kondensator:

1. Theorie: Kondensator: 1. Theorie: Aufgabe des heutigen Versuchstages war es, die charakteristische Größe eines Kondensators (Kapazität C) und einer Spule (Induktivität L) zu bestimmen, indem man per Oszilloskop Spannung und

Mehr

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge Carl Hanser Verlag München 8 Schaltvorgänge Aufgabe 8.6 Wie lauten für R = 1 kω bei der Aufgabe 8.1 die Differenzialgleichungen und ihre Lösungen für die Spannungen u 1 und u 2 sowie für den Strom i? Aufgabe

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

C04 Operationsverstärker Rückkopplung C04

C04 Operationsverstärker Rückkopplung C04 Operationsverstärker ückkopplung 1. LITEATU Horowitz, Hill The Art of Electronics Cambridge University Press Tietze/Schenk Halbleiterschaltungstechnik Springer Dorn/Bader Physik, Oberstufe Schroedel 2.

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

TO-220 TO-202 TO-92 TO-18. Transistoren mit verschiedenen Gehäusen

TO-220 TO-202 TO-92 TO-18. Transistoren mit verschiedenen Gehäusen Transistoren TO-220 TO-202 SOT-42 TO-3 TO-18 TO-92 TO-5 Transistoren mit verschiedenen Gehäusen Das Wort Transistor ist ein Kunstwort. Es leitet sich von transfer resistor ab und beschreibt damit einen

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

S7-Hantierungsbausteine für R355, R6000 und R2700

S7-Hantierungsbausteine für R355, R6000 und R2700 S7-Hantierungsbausteine für R355, R6000 und R2700 1. FB90, Zyklus_R/W Dieser Baustein dient zur zentralen Kommunikation zwischen Anwenderprogramm und dem Modul R355 sowie den Geräten R6000 und R2700 über

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7 Pflichtenheft Projektteam Rexford Osei - Frey Michael Weichert Thomas Thutewohl Pflichtenheft Seite 1 von 7 Inhaltsverzeichnis Allgemeines Seite 3 Projektteam, Auftraggeber, Betreuer Projektbeschreibung

Mehr

Software-Beschreibung Elektronische Identifikations-Systeme BIS Softwarekopplung PROFIBUS DP mit BIS C-60_2-...an S7

Software-Beschreibung Elektronische Identifikations-Systeme BIS Softwarekopplung PROFIBUS DP mit BIS C-60_2-...an S7 Software-Beschreibung Elektronische Identifikations-Systeme BIS Softwarekopplung PROFIBUS DP mit BIS C-60_2-...an S7 Dieser Funktionsbaustein ermöglicht eine Kommunikation zwischen einer Balluff- Auswerteeinheit

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Hilfe bei der Qual der Wahl

Hilfe bei der Qual der Wahl Hilfe bei der Qual der Wahl Die große Auswahl an verfügbaren Halbleitern ermöglicht heute sehr unterschiedliche TOPOLOGIEN BEIM DESIGN VON ABWÄRTSWANDLERN. AUTOMOBIL-ELEKTRONIK stellt diese Topologien

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08 C.M.I. Version 1.08 Control and Monitoring Interface Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) de LAN LAN Beschreibung der Datentransfermethode Mit dieser Methode ist es möglich, analoge

Mehr

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy uc_kurs_mts_hl_1 Seite 1 S1 Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy An einen uc kann man eine Menge Sensoren und Aktuatoren anschließen. Mit den Sensoren kann der uc

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses)

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses) 51 Frequenzgang eines RC-Tiepasses (RC-Hochpasses) EBll-2 Augabe In dieser Übung soll ein RC-Tiepaß bzw. wahlweise eln RC- Hochpaß mit R = 10 kq und C = 22 nf augebaut und Deßtechnisch untersucht werden.

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode Dioden - Anwendungen vereinfachte Diodenkennlinie Für die meisten Anwendungen von Dioden ist die exakte Berechnung des Diodenstroms nach der Shockley-Gleichung nicht erforderlich. In diesen Fällen kann

Mehr

Schnittstellenbeschreibung

Schnittstellenbeschreibung 1 Anschlüsse Bezeichnung Funktion, Beschreibung 13 Pressure UT Ausgang Druckluft, Verbindung zum Vorratsbehälter / Kartusche / Tank des Mediums 14 Pressure IN Eingang Druckluft, Schlauchanschluss, 4mm

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Autoradio On Off Schaltung

Autoradio On Off Schaltung Autoradio On Off Schaltung Konzeption, Anleitung und Hinweise Christian Schönig Schöneberger Str. 20 82377 Penzberg Inhalt 1. MOTIVATION... 3 1.1. AKTUELLER STAND BEI HERSTELLER RADIO... 3 1.2. ANSCHLUSS

Mehr

JFET MESFET: Eine Einführung

JFET MESFET: Eine Einführung JFET MESFET: Eine Einführung Diese Präsentation soll eine Einführung in den am einfachsten aufgebauten Feldeffektransistor, den Sperrschicht-Feldeffekttransistor (SFET, JFET bzw. non-insulated-gate-fet,

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik /

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik / / Mikrocomputertechnik Eingabetastatur Hexadezimal Schalter Addierer 7Segment Anzeige 47 / 13 V.03 Technische Änderungen vorbehalten!.universelles Demonstrationssystem für Grundlagen der / Mikrocomputertechnik.Anzeigen

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Klasse : Name : Datum :

Klasse : Name : Datum : von Messgeräten; Messungen mit Strom- und Spannungsmessgerät Klasse : Name : Datum : Will man mit einem analogen bzw. digitalen Messgeräte Ströme oder Spannungen (evtl. sogar Widerstände) messen, so muss

Mehr

Elektrische Messtechnik, Labor

Elektrische Messtechnik, Labor Institut für Elektrische Messtechnik und Messsignalverarbeitung Elektrische Messtechnik, Labor Messverstärker Studienassistentin/Studienassistent Gruppe Datum Note Nachname, Vorname Matrikelnummer Email

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

TP 6: Windenergie. 1 Versuchsaufbau. TP 6: Windenergie -TP 6.1- Zweck der Versuche:...

TP 6: Windenergie. 1 Versuchsaufbau. TP 6: Windenergie -TP 6.1- Zweck der Versuche:... TP 6: Windenergie -TP 6.1- TP 6: Windenergie Zweck der ersuche: 1 ersuchsaufbau Der Aufbau des Windgenerators und des Windkanals (Abb.1) erfolgt mit Hilfe der Klemmreiter auf der Profilschiene. Dabei sind

Mehr