5.Vorlesung Rechnerorganisation

Größe: px
Ab Seite anzeigen:

Download "5.Vorlesung Rechnerorganisation"

Transkript

1 29. April Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen, insbesondere Strukturbeschreibung

2 29. April Wozu Hardwarebeschreibungssprache? Alternative wäre schaltplanähnliche Repräsentation von Hardware Beschreibungen wären ziemlich unüberschaubar der Blick auf das Wesentliche geht verloren Abläufe (Zustandsautomaten) sind sehr schlecht erkennbar sprachliche Konstrukte lassen sich gut weiterverarbeiten

3 29. April Aber: grafische Repräsentationsformen sind natürlich intuitiver sind zumindest für strukturelle Beschreibungen gut geeignet grafisch dargestellte Zustandsautomaten sind auch weniger fehlerträchtig heute findet man oft grafische Frontends welche VHDL Code erzeugen können man nimmt das, was jeweils geeignet erscheint

4 29. April Gliederung von VHDL Designs in Beschreibung der Außenbeschaltung (Entity) und Beschreibung des inneren Aufbaus (Architecture) im Sinne von: Ich gebe Dir die Außenbeschaltung und sage Dir, wie die Komponente genutzt werden kann, aber ich verrate nicht den inneren Aufbau. (Blackbox, Kapselung von,,intellectual Property )

5 29. April Parametrisierbare VHDL Designs Entities können neben Ports auch sog. Generics besitzen Generics werden wie Ports in einer Liste angegeben Beispiel: entity vieler_und is generic( eingaenge: natural); port( ein: in bit_vektor(eingaenge-1 downto 0), aus: out bit); end vieler_und; es lassen sich zusätzliche Parameter übergeben, welche sogar das äußere Erscheinungsbild (Ports) beeinflussen können

6 29. April Modellierungssichtweisen in VHDL: Datenflussbeschreibung Verhaltensbeschreibung Strukturbeschreibung

7 29. April Datenflussbeschreibung Beschreibung des Designs mehr oder weniger auf Logikgleichungs Level z.b. einfacher 2:1 Multiplexer: S A i1 1 i2 & >=1 Y B & i3 wird mehr oder weniger 1:1 beschrieben

8 29. April Datenflussbeschreibung (weiter) Architecture des MUX als Datenflussbeschreibung: architecture dataflow1 of mux is signal i1, i2, i3 : bit; begin i1 <= not s; i2 <= i1 and a; i3 <= s and b; y <= i2 or i3; end dataflow1;

9 29. April Datenflussbeschreibung (weiter) Architecture des MUX als Datenflussbeschreibung: architecture dataflow1 of mux is signal i1, i2, i3 : bit; begin i1 <= not s; i2 <= i1 and a; i3 <= s and b; y <= i2 or i3; end dataflow1; oder einfacher: architecture dataflow2 of mux is begin y <= (a and not s) or (b and s); end dataflow2;

10 29. April Datenflussbeschreibung (weiter) Problem: Diese Art der Beschreibung ist mühsam, unübersichtlich und fehlerträchtig außerdem ist sie beschränkt auf kombinatorische Sachverhalte (Was machen wir mit Speicherelementen?) ist als allumfassendes Beschreibungsparadigma nicht geeignet

11 29. April Verhaltensbeschreibung wir beschreiben keine Logikgleichungen mehr, sondern geben lediglich das Verhalten an die Beschreibung des Verhaltens sieht (im allg.) wesentlich übersichtlicher aus S A Y B

12 29. April Verhaltensbeschreibung (weiter) Beschreibung des Verhaltens meist auf Art algorithmischer Ebene im Sinne einer Programmiersprache (Prozesse nächste Vorlesung) weniger fehlerträchtig

13 29. April Verhaltensbeschreibung (weiter) Architecture des MUX als Verhaltensbeschreibung: architecture behaviour1 of mux is begin y <= a when s= 0 else b; end behaviour1; oder: architecture behaviour2 of mux is begin process(s,a,b) begin case s is when 0 => y <= a; when 1 => y <= b; end case; end process; end behaviour2;

14 29. April Verhaltensbeschreibung (weiter) Design muss durch Synthesetool auf Datenflussebene (Schaltplan) heruntergebrochen werden man muss sich nicht um den,,kleinkram kümmern

15 29. April Verhaltensbeschreibung (weiter) Design muss durch Synthesetool auf Datenflussebene (Schaltplan) heruntergebrochen werden man muss sich nicht um den,,kleinkram kümmern Aber: man gibt als Designer,,das Ruder aus der Hand (Design wird mehr oder weniger gut oder schlecht umgesetzt)

16 29. April Verhaltensbeschreibung (weiter) Achtung: Synthesetools akzeptieren in der Regel nur einen Subset des in VHDL möglichen um bestimmte Datenflusskomponenten (z.b. D FlipFlops) zu erzeugen, muss man bestimmte Konstrukte anwenden, d.h. Konventionen beachten mehr dazu in nächster Vorlesung

17 29. April Strukturbeschreibung mit Datenfluss oder Verhaltensbeschreibung lassen sich noch keine Schaltungshierarchien realisieren Designs lassen sich nicht richtig strukturieren und Einzelteile lassen sich nicht wiederverwenden (abgesehen von Prozeduren) ebenfalls lässt sich das Einbinden fertiger Funktionsblöcke (IP Cores) nicht ermöglichen eine Strukturbeschreibung bietet genau diese Features

18 29. April Strukturbeschreibung (weiter) z.b. Multiplexer aufgebaut aus Gatter Komponenten: (ist mehr akademischer Natur und hier nicht gerade geeignet ;-) S C1 (nicht) i1 C2 i2 A (und) C4 (oder) Y B C3 (und) i3

19 29. April Strukturbeschreibung (weiter) verwendete Komponenten (bzw. deren Entities) müssen im Vereinbarungsteil der Architekturbeschreibung vereinbart werden (mittels component Deklarative) Vereinbarung kann auch in externen Packages erfolgen Beispiel für Komponentendeklaration: component nicht port ( i : in bit; o : out bit); end component;

20 29. April Strukturbeschreibung (weiter) Jeder Komponenten Typ wird exakt einmal vereinbart!!! unser Multiplexer benötigt also drei verschiedene Komponenten: nicht, und, oder Einbinden (Instantiieren) der Komponenten erfolgt mittels des port map() Konstrukts

21 29. April Strukturbeschreibung (weiter) 2 Arten der Verwendung von port map(): positional port binding (Reihenfolge der angschlossenen Signale muss mit der Reihenfolge in der Entity übereinstimmen) C1: nicht port map(s, i1);

22 29. April Strukturbeschreibung (weiter) 2 Arten der Verwendung von port map(): positional port binding (Reihenfolge der angschlossenen Signale muss mit der Reihenfolge in der Entity übereinstimmen) C1: nicht port map(s, i1); named port binding (für jeden Port wird individuell angegeben, welches Signal angeschlossen wird) C1: nicht port map(i => s, o => i1); mehr Schreibaufwand, aber übersichtlicher

23 29. April Strukturbeschreibung (weiter) Multiplexer Code: architecture structure of mux is signal i1, i2, i3 : bit; component nicht port ( i : in bit; o : out bit); end component; component und port ( i1, i2 : in bit; o : out bit); end component;

24 29. April component oder port ( i1, i2 : in bit; o : out bit); end component; begin C1: nicht port map(i => s, o => i1); -- named binding C2: und port map(i1 => i1, i2 => a, o => i2); -- named binding C3: und port map(s, b, i3); -- positional binding C4: oder port map(i2, i3, y); -- positional binding end structure; (positional und named port bindings sind natürlich willkürlich gewählt worden)

25 29. April Strukturbeschreibung (weiter) Einbinden von generischen Parametern analog zu port map() mittels generic map() named oder positional binding ist ebenfalls möglich Beispiel,,vieler_und : Gate: vieler_und generic map (eingaenge => 4) port map (ein => ein, aus => aus); instantiiert vieler_und mit 4 Eingängen

26 29. April Strukturbeschreibung (weiter) Fallstricke / typische Fehler: Namen der Ports von eingebundenen Entities sind rein formale Namen und haben mit den angeschlossenen Signalen in keinster Weise etwas zu tun!!! Ebenso bezieht sich die Vereinbarung von Komponenten (component Deklarative) lediglich auf eine formale Komponente, und hat nichts mit deren späteren Instantiierung zu tun (trifft auch für die Anzahl der Instantiierungen zu)!!!

27 29. April Mischung von Beschreibungsparadigmen ist problemlos möglich VHDL erlaubt die Benutzung aller drei Modellierungssichtweisen innerhalb einer Architecture

28 29. April Zu diversen Vereinbarungen einfache Signalvereinbarungen (bit, bit_vector, std_logic, std_logic_vector) kennen wir bereits außerdem interessant für uns: Verwendung von Aufzählungstypen, z.b. type test_type is (eins, zwei, drei, vier); signal bla : test_type; was das VHDL Tool daraus macht, ist seine Sache

29 29. April Vereinbarung natürlicher Zahlen, z.b. signal counter : natural range 0 to 255; daraus wird sozusagen ein 8-Bit Vektor Vereinbarung von Konstanten, z.b. constant null : std_logic_vector(1 downto 0) := "00"; constant eins : std_logic_vector(1 downto 0) := "01"; constant zwei : std_logic_vector(1 downto 0) := "10"; constant drei : std_logic_vector(1 downto 0) := "11"; unter Umständen übersichtlicher

30 29. April Morgen: nähere Betrachtung der Verhaltensbeschreibung insbesondere die Handhabung von Prozessen

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München Praktium Systementwurf mit VHDL HDL Design Lab Lehrstuhl für Entwurfsautomatisierung Technische Universität München 1 Das Projekt: Verschlüsselung Entschlüsselung 2 Hardware-Emulation Oszillograph Signalgenerator

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr Prof. Dr. F. Schubert Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX 09/2008 Sbr Erläuterungen: Groß geschrieben: Selbstdefinierte Namen z.b. VADD, CARRY_IN, TD Klein geschrieben und fett

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

VHDL Syntax V1.0. F. Wolf

VHDL Syntax V1.0. F. Wolf VHDL Syntax V1.0 Graz, Februar 2002 Inhaltsverzeichnis 1 Einführung 3 2 Kurze Beschreibung der Design-Einheiten 4 2.1 Schnittstellenbeschreibung (Entity) 4 2.2 Architektur (Architecture) 5 2.3 Konfiguration

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese ZÜRCHER HOCHSCHULE FÜR ANGEWANDTE WISSENSCHAFTEN INSTITUTE OF EMBEDDED SYSTEMS Autoren: Hans-Joachim Gelke Letzte Änderung: 24. Februar

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Übungen Architektur Eingebetteter Systeme

Übungen Architektur Eingebetteter Systeme Universität Ulm Institut für Eingebettete Systeme und Echtzeitsysteme Übungen Architektur Eingebetteter Systeme Dipl.-Ing. Tobias Bund SS 2010 Inhaltsverzeichnis 1 Ziel der Übungen..............................................

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages Beschreibung von Rechensystemen auf 6 Ebenen Algorithmische Ebene spezifiziert den Algorithmus zur Lösung eines Entwurfsproblems Dezember 2012 PMS-Ebene (Processor, Memory, Switch) beschreibt Rechner grob

Mehr

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Fachbereich 4: Institut für Informatik Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Studienarbeit im Studiengang Informatik vorgelegt von Christopher Israel Marcel Jakobs 205110288 204210335

Mehr

6 Systematisches Testen von Programmen

6 Systematisches Testen von Programmen 6 Systematisches Testen von Programmen Testen Untersuchung des Source-Codes nach Fehlern und Anomalien Stefan Lucks, Software-Entwicklung für Sichere Systeme SS 04, Kapitel 6 p.1/24 Untersuchung des Source-Codes

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen.

Signalzuweisungen können nebenläufig sein oder als sequentielle Anweisungen innerhalb von Prozessen, Funktionen oder Prozeduren stehen. 6 Verhaltensmodellierung 6.3 Signalzuweisungen und Verzögerungsmodelle Die wohl wichtigste Anweisung in VHDL ist die Zuweisung von neuen Werten an Signale. Signale dienen als Informationsträger innerhalb

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel : Logiksynthese und VHDL-Einführung Mario Schölzel Geschichte von VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str.

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str. CPLDs Vom Platinenlayout zum ersten Projekt Jakob Holderbaum Erstellt am 9. August 2008 Berufskolleg Olsberg Paul-Oeventrop Str. 7 59939 Olsberg Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 Vorwort 3 2 Programmierbare

Mehr

Crashkurs VHDL FH München, FB 06

Crashkurs VHDL FH München, FB 06 Crashkurs VHDL FH München, FB 06 1 Einleitung... 2 2 Signale, Typen und Vektoren... 3 2.1 Konventionen in VHDL... 4 2.1.1 Namensregeln... 4 2.1.2 Kommentare... 4 2.1.3 Zuweisungen... 4 2.2 Typologie...

Mehr

Programmierbare Logikbausteine

Programmierbare Logikbausteine Elektronikpraktikum SS 2012 9.Serie 12.07.2012 J. Pochodzalla, S. Bleser, O. Corell, W. Lauth, A. Sanchez Lorente, M. Steinen Do. 13:15-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum

Mehr

Modernes Schaltungsdesign. FPGA Praktikum

Modernes Schaltungsdesign. FPGA Praktikum Modernes Schaltungsdesign FPGA Praktikum am II. Physikalischen Institut Justus-Liebig-Universität Gießen Stand 17-11-2004 5 Einleitung Das Praktikum beginnt mit einer Einführungsvorlesung. Die Themen dieser

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

Digitaltechnik und Rechnerarchitektur Vorlesung Kapitel 3: Digitale Grundschaltungen FH Osnabrück

Digitaltechnik und Rechnerarchitektur Vorlesung Kapitel 3: Digitale Grundschaltungen FH Osnabrück igitaltechnik und echnerarchitektur Vorlesung Kapitel 3: igitale Grundschaltungen FH Osnabrück Prof. r.-ing. B. Lang. Version vom 27.3.3 Inhalt des Abschnitts 3 IGITALE GUNCHALTUNGEN... 3 3. MULTIPLEXE

Mehr

Memory-Mapped-IO. Kapitel 4

Memory-Mapped-IO. Kapitel 4 Kapitel 4 Memory-Mapped-IO In dieser Übung soll das bereits von Ihnen entwickelte programmierbare PWM- Modul an den Nios II Prozessor angebunden und dann per Software gesteuert werden. Altera stellt dafür

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

Contents. Interaction Flow / Process Flow. Structure Maps. Reference Zone. Wireframes / Mock-Up

Contents. Interaction Flow / Process Flow. Structure Maps. Reference Zone. Wireframes / Mock-Up Contents 5d 5e 5f 5g Interaction Flow / Process Flow Structure Maps Reference Zone Wireframes / Mock-Up 5d Interaction Flow (Frontend, sichtbar) / Process Flow (Backend, nicht sichtbar) Flow Chart: A Flowchart

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

APEX URLs Suchmaschienen- und Benutzerfreundlich

APEX URLs Suchmaschienen- und Benutzerfreundlich APEX URLs Suchmaschienen- und Benutzerfreundlich Christian Rokitta themes4apex Leusden (NL) Schlüsselworte APEX, URL, Parameter, Suchmaschinen, PLSQL, Google Analytics, Restful Services Einleitung Im Vergleich

Mehr

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL

Einführung in den HDL- gestützten Schaltungsentwurf Einführung in VHDL Einführung in den HDLgestützten Schaltungsentwurf Einführung in VHDL Ablauf des Entwurfs Spezifikation golden specification Funktionale Simulation Testbench Logiksynthese Gate-Level Simulation Formale

Mehr

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG)

2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins. 2.5 Boundary Scan (JTAG) 2.5 Boundary Scan (JTAG) JTAG = Joint Test Action Group (für Boundary Scan verantwortliches Gremium) 2.5.2 Prinzipieller Aufbau eines Boundary-Scan-fähigen Bausteins Boundary-Scan-Zelle 2.5. Überblick

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Vorlesung und Übungen Ausgabe 0.4, 18.12.2013 Autor: Stephan Rupp S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 1/101 S. Rupp, 2013 T2ELN3602, T2ELA2652, T2MT3162 2/101 Inhaltsverzeichnis

Mehr

Erweiterte Kostenmodellierung mit VHDL/VHDL-AMS

Erweiterte Kostenmodellierung mit VHDL/VHDL-AMS Erweiterte Kostenmodellierung mit VHDL/VHDL-AMS Michael Schlegel, Göran Herrmann, Dietmar Müller TU Chemnitz, Fakultät für Elektrotechnik und Informationstechnik, Professur Schaltungs- und Systementwurf,

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Software Analyse Tooldemo: JQuery Sommersemester 2011. Jonas Pusch

Software Analyse Tooldemo: JQuery Sommersemester 2011. Jonas Pusch Software Analyse Tooldemo: JQuery Sommersemester 2011 Jonas Pusch Gliederung 1. Was ist JQuery? 2. Browsing Code (Motivation for JQuery) 3. Wie funktioniert JQuery? i. JQuery Features ii. TyRuBa (Sprache

Mehr

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL)

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Einführung in die Hardwarebeschreibungssprache VHDL (Text/Graphik) sowie Schaltungssynthese bw. -optimierung F. Stockmayer Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Art der Info: Technische Background Info Teil 1 (April 2002)

Art der Info: Technische Background Info Teil 1 (April 2002) Betrifft: Autor: Oracle 9i New Features SQL und PL/SQL Christine Hansen (christine.hansen@trivadis.com) Art der Info: Technische Background Info Teil 1 (April 2002) Quelle: Aus dem NF9i-Kurs und NF9i-Techno-Circle

Mehr

Event Recognition Engine

Event Recognition Engine Event Recognition Engine Eine Analysis Engine im UIMA Framework Hauptseminar Information Retrieval Tobias Beck 10.01.2011 2 Übersicht: Einordnung UIMA Komponenten einer UIMA Pipeline Selbst erstellte Event

Mehr

Freikonfigurierbare I/Os mittels LabVIEW

Freikonfigurierbare I/Os mittels LabVIEW Freikonfigurierbare I/Os mittels LabVIEW R-Series (PCI/PXI) Vision Compact RIO Dr. Rolf Kall, Frank Wiedmann Agenda LabVIEW Engineering-Plattform für jeden Forscher und Entwickler FPGA Targets LabVIEW

Mehr

3: Systematisches Testen

3: Systematisches Testen Stefan Lucks 3: Systematisches Testen 70 E für Sichere Vert. Systeme (2007) 3: Systematisches Testen Testen ist ein Prozess, ein Programm mit der Absicht auszuführen, Fehler zu finden. Man muss destruktiv

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

Objektorientierte Programmierung für Anfänger am Beispiel PHP

Objektorientierte Programmierung für Anfänger am Beispiel PHP Objektorientierte Programmierung für Anfänger am Beispiel PHP Johannes Mittendorfer http://jmittendorfer.hostingsociety.com 19. August 2012 Abstract Dieses Dokument soll die Vorteile der objektorientierten

Mehr

Teil C Anwendung von VHDL

Teil C Anwendung von VHDL Teil C Anwendung von VHDL G. Lehmann/B. Wunder/M. Selz 229 1 Simulation 1.1 Überblick Die Simulation dient im allgemeinen der Verifikation von Entwurfsschritten. Bei einer Designmethodik mit VHDL unter

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

8 Zugriffstypen ( Zeiger )

8 Zugriffstypen ( Zeiger ) 8 Zugriffstypen ( Zeiger ) 1. Zugriffstypen, die auf Daten in einem Storage Pool zeigen Heap. 2. Allgemeine Zugriffstypen, die auf (mehr oder weniger) beliebige Daten zeigen. 3. Zugriffsparameter für Unterprogramme

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

ecall sms & fax-portal

ecall sms & fax-portal ecall sms & fax-portal Beschreibung des s Dateiname Beschreibung_-_eCall 2015.08.04 Version 1.1 Datum 04.08.2015 Dolphin Systems AG Informieren & Alarmieren Samstagernstrasse 45 CH-8832 Wollerau Tel. +41

Mehr

DBUS Interprozess-Kommunikation für Embedded-Plattformen

DBUS Interprozess-Kommunikation für Embedded-Plattformen DBUS Interprozess-Kommunikation für Embedded-Plattformen Andreas Schwarz Neratec Solutions AG Firmenprofil Neratec Solutions AG Produkt-Entwicklungen für kundenspezifische elektronische Produkte Produkte

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Andreas Lux 16.03.2010. Verknüpfung unterschiedlicher Modellsprachen (BPMN, UML, DSL) zur Anforderungsanalyse

Andreas Lux 16.03.2010. Verknüpfung unterschiedlicher Modellsprachen (BPMN, UML, DSL) zur Anforderungsanalyse Andreas Lux 16.03.2010 Verknüpfung unterschiedlicher Modellsprachen (BPMN, UML, DSL) zur Anforderungsanalyse Warum unterschiedliche Sprachen? Nicht alle Probleme eignen sich, um mit Standardsprachen beschrieben

Mehr

Schaltungsdesign mit VHDL. Gunther Lehmann, Bernhard Wunder, Manfred Selz

Schaltungsdesign mit VHDL. Gunther Lehmann, Bernhard Wunder, Manfred Selz Schaltungsdesign mit VHDL Gunther Lehmann, Bernhard Wunder, Manfred Selz Vorwort 4 G. Lehmann/B. Wunder/M. Selz Vorwort VHDL 1 ist ein weltweit akzeptierter Standard zur Dokumentation, funktionalen Simulation

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Ein Überblick über programmierbare logische Bausteine TU Berlin FG emsp 1 Einleitung Verschiedene Realisierungsmöglichkeiten von logischen Zusammenhängen 1. Kombination von einfachen

Mehr

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen.

Im Innersten besteht jede digitale Hardwareschaltung aus kombinatorischer Logik und speichernden Elementen. VHDL Wikibooks.org 16. Februar 2012 Inhaltsverzeichnis 0.1 EINFÜHRUNG.......................................... 1 0.2 BASIS-KONSTRUKTE...................................... 5 0.3 OPERATOR PRECEDENCE...................................

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Eine kurze Einführung Academy-Day 2012, Lingen 12/13.05.2012. Wolfram Seidel, CCIE #15942

Eine kurze Einführung Academy-Day 2012, Lingen 12/13.05.2012. Wolfram Seidel, CCIE #15942 Eine kurze Einführung Academy-Day 2012, Lingen 12/13.05.2012 Wolfram Seidel, CCIE #15942 DISCLAIMER - Warnhinweis Die Software GNS3 sowie die dazugehörigen Utilities sind zwar freie Software, zur Simulation

Mehr

AUL04 Einführung in die Automatisierungslösungen

AUL04 Einführung in die Automatisierungslösungen AUL04 Einführung in die Automatisierungslösungen Automation University Special 2015 Roman Brasser Commercial Engineer rbrasser@ra.rockwell.com Tel. +41 (0) 62 889 78 12 Marco Faré Commercial Engineer mfare@ra.rockwell.com

Mehr

Mobile JENCOLOR Evaluation Kits with Windows 8 Smart PC for Color Measurement Applications

Mobile JENCOLOR Evaluation Kits with Windows 8 Smart PC for Color Measurement Applications Mobile JENCOLOR Evaluation Kits with Windows 8 Smart PC for Color Measurement Applications Paul-Gerald Dittrich, Fred Grunert, Dietrich Hofmann MAZeT GmbH Firmenpräsentation Version xx 1 Content 1. Mobile

Mehr