Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Größe: px
Ab Seite anzeigen:

Download "Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs"

Transkript

1 Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5, , spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt C spez. Wärme c J/(gK) 0,7 0,35 Wärmeleitfähigkeit κ W/(cmK) 1,41 0,455 Intrinsische Dichte n i cm 3 1, , Eigenleitfähigkeit σ i S/cm 4, Relative 12(Si) Dielektrizitätskonstante ε r 3,92(SiO 2 ) Bandabstand W g ev 1,12 1,43 eff. Masse Elektronen m n 0,33 m 0 0,067 m 0 Löcher m p 0,56 m 0 0,5 m 0 Diffussionskonstante Elektronen D n cm 2 /s Löcher D p cm 2 /s 12,5 12 Austrittspotential Elektronen φ V 3,78 3,57 Beweglichkeit bei schwacher Dotierung Elektronen µ n cm 2 /Vs Löcher µ p cm 2 /Vs eff. Zustandsdichte Elektronen N L cm 3 2, , Löcher N V cm 3 1, , Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben.

2 Physikalische Konstanten Konstante Temperaturspannung Elementarladung Boltzmann-Konstante Symbol, Wert und Einheit U T = k T e = 25,9 mv e = 1, As ( T ) 300K k = 8, ev/k = 1, J/K Ruhemasse des Elektrons m 0 = 9, kg = 0, VAs 3 /cm 2 Dielektrizitätskonstante des Vakuums ε 0 = 8, As/Vcm Planck sches Wirkungsquantum h = 6, Ws 2 bzw. h = h/2π = 1, Js

3 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte 1.1 bis 1.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten richtig sein. Falsche Kreuze führen zu Punktabzug! 1.1 Entwurfsverfahren für integrierte Schaltungen Kreuzen Sie die wahren Aussagen an! Beim Entwurf mit Standardzellen führen die regelmäßigen Strukturen zu einer besseren Flächennutzung als bei einem voll kundenspezifischen Entwurf. EEPROMs sind durch ihre Programmierbarkeit besonders für den schnellen Entwurf komplexer Prozessorstrukturen geeignet. Gatearrays bieten eine Ersparnis von Entwicklungszeit gegenüber dem voll kundenspezifischen Entwurf, sind jedoch nicht so flexibel programmierbar wie FPGAs. 1.2 Nennen Sie zwei Parameter, von denen die Schwellspannung eines MOSFET abhängig ist: MOS-Kondensator und MOS-Transistor Die folgenden Aussagen gelten für einen MOS-Kondensator bzw. selbstsperrenden MOS-Transistor auf p-dotiertem Silizium-Substrat. Kreuzen Sie die wahren Aussagen an! Bei einer MOS-Kapazität verringert sich der Kapazitätswert, wenn ausgehend von U GB > 0 das Potential am Gate vergrößert wird. Wenn die Spannung zwischen Gate und Substrat kleiner als Null wird, befindet sich der Transistor in Inversion. Wenn die Bulk-Drain-Spannung U BD zwischen Substrat und der Drain-Gebiet eines n-kanal-mosfet ausreichend positiv wird, führt dies zu so großen Strömen, sodass das Bauelement zerstört werden kann. Seite 1 von 13

4 1 1.4 a) Zeichnen Sie das Schaltbild eines CMOS-Inverters und kennzeichnen Sie alle Anschlüsse (S, G, D, B, U DD, GND, U E, U A )! b) Tragen Sie die Transistortypen, Anschlüsse sowie die Dotierungen in den uerschnitt in Abb. 1.1 ein! Abbildung 1.1: uerschnitt eines CMOS-Inverters c) Der CMOS-Inverter soll ein symmetrisches Schaltverhalten haben. Welcher Zusammenhang muss hierfür gelten? Stellen Sie eine allgemeine Gleichung auf, welche als Variablen unter anderem die Weiten W n, W p sowie die Längen L n, L p der Transistoren beinhaltet! d) Zeichnen Sie in Abb. 1.2 die statische Übertragungskennlinie eines CMOS- Inverters und kennzeichnen Sie die Punkte, in denen mindestens ein Transistor den Arbeitsbereich wechselt! Die Versorgungsspannung betrage 1,5 V und es gelte U th,n = U th,p = 150 mv. Abbildung 1.2: Statische Übertragungskennlinie Seite 2 von 13

5 1 e) Stellen Sie in der Tabelle in Abb. 1.3 die Arbeitsbereiche der Transistoren abhängig von der Eingangsspannung U E zusammen und kennzeichnen Sie diese Bereiche in Abb. 1.2! Nr. U E n Kanal p Kanal Abbildung 1.3: Betriebszustände der Transistoren Seite 3 von 13

6 2 Aufgabe 2: CMOS-Logik (25 Punkte) In dieser Aufgabe werden Grundlagen des Aufbaus von Logikschaltungen und deren Funktionsweise behandelt. Bei der Berechnung von Umladezeiten gelten für die Transistoren folgende Beziehungen: Versorgungsspannung VDD = 1.5 V Schwellspannung V th,n = V th,p = 0,5 V Transistorkenngröße k n = k p = 500 µa V Gegeben ist folgende Funktion: = E 1 + E 2 + E 3 + E 4 E 5 a) Bestimmen Sie! Zeichnen Sie das Logikgatter in statischer CMOS-Logik! Für die folgenden Aufgabenpunkte ist das Gatter in Abbildung 2.1 gegeben. 2.2 Als nächstes wird das Schaltverhalten des Gatters untersucht. Die parasitären Transistorkapazitäten betragen: C GS,p = C GD,p = 45 ff C GS,n = C GD,n = 15 ff C DB,p = C SB,p = C DB,n = C SB,n = 5 ff Die Lastkapazität C L beträgt 50 ff! a) Bestimmen Sie die Kapazität C 1 am Knoten für den Schaltzustand (0,0,1)! b) Auf welchem Potential liegt der Knoten? c) Nun betrachten Sie die Kapazität C 2 am Knoten für den Eingangssignalwechsel von (0,0,1) (0,1,1). Überlegen Sie sich über welchen Kapazitäten ein doppelter Spannungshub auftritt! Berechnen Sie die Kapazität am Knoten! d) Vergleichen Sie das Ergebnis aus a) und c). Wie nennt man dieses Phänomen? Erklären Sie kurz, wie es zu diesem Phänomen kommt! 2.3 Das Gatter erfährt nun einen Eingangssignalwechsel (1,0,1) (0,1,0). Für die an den Knoten A,B und wirksamen Kapazitäten gilt: C A = 100 ff C B = 50 ff C = 220 ff a) Zeichnen Sie das RC-Ersatzschaltbild! b) Berechnen Sie die Zeit t LH unter Verwendung der Elmore-Gleichung! Seite 4 von 13

7 2 VDD = 1,5 V E1 A E2 E3 E1 E2 E3 B C L GND GND Abbildung 2.1: Schaltbild 2.4 Im nächsten Schritt soll die Zeit t LH minimiert werden. a) Welche Logikfamilie bietet sich zur Reduktion der Zeit t LH an? b) Zeichnen Sie das Logikgatter! c) Welchen Nachteil hat diese Logik in Bezug auf das ursprüngliche Gatter? Seite 5 von 13

8 3 Aufgabe 3: Schaltwerke (25 Punkte) 3.1 In Abb. 3.1 ist ein 3-Bit Serial-In-Parallel-Out (SIPO)-Schieberegister dargestellt. Die Ausgänge Out 2 und Out 3 sind über ein Logik-Gatter auf den Eingang zurückgeführt. Als Logik-Gatter stehen ein NOR- sowie ein XNOR-Gatter zur Verfügung. Die Lastkapazitäten C L repräsentieren die Eingangskapazitäten des Logik-Gatters. Es gelten folgende Daten: NOR MS-D-Flipflops (FF) Verzögerungszeit: t d,nor = 0,1 ns Eingangskapazität: C in = 4 ff Ausgangskapazität: C out = 6 ff XNOR Setup-Zeit: t su = 0,6 ns Verzögerungszeit: t d,xnor = 0,12 ns Halte-Zeit: t h = 0,3 ns Out 1 Out 2 Out 3 Logik Gatter D FF D FF D FF C in C out Cin C out C L Cin C out C L φ SIPO Schieberegister Abbildung 3.1: 3-Bit Serial-In-Parallel-Out-Schieberegister mit Beschaltung. a) Erläutern Sie kurz die Bedeutung von Setup- und Halte-Zeit und kennzeichnen Sie diese in Abb. 3.2 im Zeitstrahl A (unterschiedliche Farben oder Schraffierungen verwenden)! Beachten Sie dabei, dass die FFs positiv flankengesteuert sind! b) Markieren Sie in Abb. 3.2 im Zeitstrahl B nun noch das Zeitintervall, in dem sich die Eingangssignale der FFs ändern dürfen! c) Eine weitere Kenngröße der FFs ist die Zeit t φ. Was gibt diese Größe an? φ A B 0 1 ns 2 ns 3 ns Abbildung 3.2: Zeitstrahl zum Kennzeichnen von Setup- und Halte-Zeit. Seite 6 von 13

9 3 d) Stellen Sie nun für das Schieberegister 2 Beziehungen bzw. Ungleichungen zwischen den verschiedenen Zeiten und der Taktperiode T φ auf, die erfüllt werden müssen, damit eine fehlerfreie Funktionsweise des Schieberegisters gewährleistet ist! Berücksichtigen Sie dabei auch das Logik-Gatter! e) Typischerweise hängt die Zeit t φ von der Gesamtkapazität C i am Knoten Out i (i=1,2,3) ab. Hier gilt: t φ = 20 ps/ff C i Berechnen Sie die maximal erlaubte Lastkapazität C L,max, damit das Schieberegister sowohl mit dem NOR- als auch dem XNOR-Gatter bei der Frequenz f φ = 800 MHz betrieben werden kann! Hinweis: Diese Taktfrequenz stimmt nicht mit der Abb. 3.2 überein! f) Ein sog. Zustandsdiagramm kann dazu verwendet werden, um darzustellen, wie sich die Werte von Out i (i=1,2,3) durch das Eintreffen positiver Taktflanken ändern. Vervollständigen Sie in Abb. 3.3 die Zustandsdiagramme, wenn als Logikgatter ein NOR bzw. XNOR eingesetzt wird. Achten Sie dabei auf eine wiederkehrende Zustandsfolge! g) Bei Verwendung des NOR-Gatters wird durch das System ein Frequenzteiler realisiert. Bestimmen Sie den Teilungsfaktor des Frequenzteilers, d.h. das Verhältnis der Taktperiode T φ zur Periode von Out 1! NOR φ Out 1 Out 2 Out 3 XNOR φ Out 1 Out 2 Out 3 Abbildung 3.3: Zustandsdiagramme des Schieberegisters. Seite 7 von 13

10 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) In dieser Aufgabe werden grundlegende Kenntnisse der Hardwarebeschreibungssprache VHDL und ihre Anwendung auf den Entwurf mikroelektronischer Schaltungen geprüft. 4.1 In diesem Unterpunkt sollen allgemeine Fragen zu VHDL beantwortet werden. Bitte kennzeichnen Sie die von Ihnen gemachten Multiple-Choice-Antworten eindeutig! Pro Aufgabe können auch mehrere Antworten richtig sein! Falsche Kreuze führen zu Punktabzug! a) Geben Sie an, welche der folgenden Aussagen zu VHDL wahr sind: VHDL steht für Very High-level Design Language. VHDL kann auf der Register-Transfer-Ebene des Y-Diagramms von Gajski verwendet werden. In VHDL können alle Sprachkonstrukte synthetisiert werden. Eine VHDL-Beschreibung besitzt immer eine Entity. Die Beschreibung paralleler Anweisungen ist ein Grundprinzip von VHDL. b) Geben Sie an, welche der folgenden Aussagen zur Architecture wahr sind: In der Architecture wird die Funktion der Schaltung beschrieben. In der Architecture werden die Ein- und Ausgänge deklariert. Für die Architecture gibt es drei verschiedene Beschreibungsformen. Eine Architecture besitzt maximal einen Prozess. In der Architecture können Generics verwendet werden. c) Geben Sie an, welche der folgenden Aussagen zur Verhaltensbeschreibung wahr sind: Das Einbinden von Komponenten ist ein wesentliches Merkmal der Verhaltensbeschreibung. Die Verwendung von Prozessen ist ein wesentliches Merkmal der Verhaltensbeschreibung. Die Verhaltensbeschreibung ist die einzige Beschreibungsform, die synthetisierbar ist. Bei einer Verhaltensbeschreibung wird keine Configuration benötigt. Eine Verhaltensbeschreibung wird ausschließlich bei der Erstellung einer Testbench verwendet. Seite 8 von 13

11 4 d) Gegeben ist die folgende VHDL-Beschreibung: entity logik is port( clk,a,b,c : in std_logic; : out std_logic); end entity logik; architecture ARCH of logik is begin PROZ: process(clk) variable TEMP: std_logic; begin if clk event and clk= 1 then TEMP := A and B; <= TEMP and C; end if; end process PROZ; end architecture ARCH; Geben Sie an, welche der folgenden Aussagen zutreffend sind: Der Ausdruck process(clk) bedeutet, dass der Prozess PROZ auf das Taktsignal clk sensitiv ist und bei einer Änderung des Signalwertes von clk aktiviert wird. Die Verwendung von if clk event and clk= 1 then bedeutet, dass es sich um einen zur positiven Flanke des Taktsignals clk synchronen Prozess handelt. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A, B und C, die direkt an den Ausgang gegeben wird. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A, B und C, die über ein D-Flipflop an den Ausgang gegeben wird. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A und B, die über ein D-Flipflop mit dem Eingang C UND-verknüpft ist. Das Ergebnis wird über ein weiteres D-Flipflop an den Ausgang gegeben. Seite 9 von 13

12 4 4.2 Geben Sie die VHDL-Beschreibung eines D-Flipflops mit einem 2:1-Multiplexer am D-Eingang (s. Abb. 4.1) an! Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Verhaltensbeschreibung einfügen! entity muxdff is port ( clk : in std_logic; D0 : in std_logic; D1 : in std_logic; sel : in std_logic; : out std_logic); end entity muxdff; D0 D1 sel clk 0 1 D muxdff architecture verhalten of muxdff is Abbildung 4.1: Komponente muxdff begin -- hier die Verhaltensbeschreibung eintragen: end architecture verhalten; 4.3 Nun soll die Komponente muxdff in dem Modul schaltung verwendet werden. Geben Sie die VHDL-Beschreibung für die Komponente schaltung nach Abb. 4.2 an! Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Strukturbeschreibung einfügen! entity schaltung is port ( clk : in std_logic; S : in std_logic; P : in std_logic_vector(2 downto 0); m : in std_logic; : out std_logic_vector(2 downto 0)); end entity schaltung; Seite 10 von 13

13 4 muxdff2 (2) muxdff1 (1) muxdff0 (0) S D0 D1 q_int(1) D0 D1 q_int(0) D0 D1 sel sel sel clk clk clk m clk P(2) P(1) P(0) Abbildung 4.2: Komponente schaltung architecture struktur of schaltung is -- hier die Strukturbeschreibung eintragen: Seite 11 von 13

14 4 -- Fortsetzung der Strukturbeschreibung: end struktur; 4.4 Nun soll die Funktion des Moduls schaltung überprüft werden. Ergänzen Sie hierzu in Abb. 4.3 die Signalverläufe der Ausgänge (2) bis (0)! Gehen Sie von einer idealen Simulation aus (keine Laufzeiten). clk S m P (2) (1) (0) Abbildung 4.3: Signalverläufe der Komponente schaltung Seite 12 von 13

15 4 4.5 Beschreiben Sie in eigenen Worten die Funktion des Moduls schaltung! 4.6 Nun soll die Funktion der Komponente schaltung direkt, d.h. ohne das Einbinden von weiteren Komponenten in VHDL beschrieben werden. Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Verhaltensbeschreibung einfügen! entity schaltung is port ( clk : in std_logic; S : in std_logic; P : in std_logic_vector(2 downto 0); m : in std_logic; : out std_logic_vector(2 downto 0)); end entity schaltung; architecture verhalten of schaltung is end architecture verhalten; Seite 13 von 13

16 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1.1 Entwurfsverfahren für Integrierte Schaltungen Kreuzen Sie die wahren Aussagen an! Beim Entwurf mit Standardzellen führen die regelmäßigen Strukturen zu einer besseren Flächennutzung als bei einem voll kundenspezifischen Entwurf. EEPROMs sind durch ihre Programmierbarkeit besonders für den schnellen Entwurf komplexer Prozessorstrukturen geeignet. Gatearrays bieten eine Ersparnis von Entwicklungszeit gegenüber dem voll kundenspezifischen Entwurf, sind jedoch nicht so flexibel programmierbar wie FPGAs. 1.2 Nennen Sie zwei Parameter, von denen die Schwellspannung eines MOSFET abhängig ist: 1.Substratdotierung, Flachbandspannung, U SB etc MOS-Kondensator und MOS-Transistor Die folgenden Aussagen gelten für einen MOS-Kondensator bzw. selbstsperrenden MOS-Transistor auf p-dotiertem Silizium-Substrat. Kreuzen Sie die wahren Aussagen an! Bei einer MOS-Kapazität verringert sich der Kapazitätswert, wenn ausgehend von U GB > 0 das Potential am Gate vergrößert wird. Wenn die Spannung zwischen Gate und Substrat kleiner als Null wird, befindet sich der Transistor in Inversion. Wenn die Bulk-Drain-Spannung UBD zwischen Substrat und der Drain-Gebiet eines n-kanal-mosfet ausreichend positiv wird, führt dies zu so großen Strömen, dass das Bauelement zerstört werden kann. Integrierte Digitalschaltungen F07 - Seite 1 von 13

17 1 1.4 a) Siehe Abb U DD G S B D U E U A D B G S GND Abb. 1.1: Schaltbild b) Siehe Abb c) µ nw n L n = µpwp L p d) Siehe Abb e) Siehe Tabelle 1.4 und Abb. 1.3! Nr. U E n Kanal p Kanal mv gesperrt ohmsch mv abgeschnürt ohmsch mv abgeschnürt abgeschnürt mv ohmsch abgeschnürt mv ohmsch gesperrt Abb. 1.4: Betriebszustände der Transistoren Integrierte Digitalschaltungen F07 - Seite 2 von 13

18 1 p -kanal n -kanal S(U p DD) G(U) S n(gnd) p E D(U) p A D(U) n A G(U) n E p+ p+ n+ n+ n Abb. 1.2: uerschnitt eines CMOS-Inverters p-substrat U [mv] E U A [mv] Abb. 1.3: Statische Übertragungskennlinie 4 Integrierte Digitalschaltungen F07 - Seite 3 von 13

19 2 Aufgabe 2: CMOS-Logik (25 Punkte) 2.1 Aufgabe a) = E 1 E 2 E 3 (E 4 + E 5 ) VDD E1 E2 E3 E4 E5 E1 E2 E3 E4 E5 GND Abb. 2.1: Schaltbild der Logik 2.2 Schaltverhalten des Gatters: a) C 1 = C GD,E1,n +C GD,E2,n +C GD,E2,p +C GD,E3,p +C DB,E1,n +C DB,E2,n +C DB,E2,p +C DB,E3,p + C L = 190 ff b) Der Knoten liegt auf VDD! c) Die Gate-Drain-Kapazität der Transistoren, die mit E2 angesteuert werden, werden doppelt gezählt: C 1 = C GD,E1,n + 2 C GD,E2,n + 2 C GD,E2,p + C GD,E3,p + C DB,E1,n + C DB,E2,n + C DB,E2,p + C DB,E3,p + C L = 250 ff d) C 2 > C 1 aufgrund des Millereffekts. Erläuterung siehe Skript Kapitel ! Integrierte Digitalschaltungen F07 - Seite 4 von 13

20 2 2.3 Eingangssignalwechsel (1,0,1) (0,1,0). a) siehe Abb. 2.2 VDD R on,p Ron,p C A R on,n C C B GND Abb. 2.2: RC-ESB: a) (101) b) Wechsel zu (010) b) t LH = 2.2(R on,p C A + 2 R on,p (C B + C )) 1 mit R on,p = = 2000Ω k p (VDD V th,p ) t LH = 2,82 ns 2.4 Im nächsten Schritt soll die Zeit t LH minimiert werden. a) Pseudo NMOS-Logik b) siehe Abbildung 2.3 VDD E1 GND E2 E3 GND Abb. 2.3: Schaltung in Pseudo-NMOS Logik c) Wenn das PDN aktiv ist, fliesst ein statischer Strom! Integrierte Digitalschaltungen F07 - Seite 5 von 13

21 3 Aufgabe 3: Schaltwerke (25 Punkte) 3.1 a) Setup-Zeit: Zeit, die das Signal an D bereits vor der Taktflanke anliegen muss Halte-Zeit: Zeit, die das Signal an D auch noch nach der Taktflanke anliegen muss s. Abb. 3.1 b) s. Abb. 3.1 φ A Setup Zeit Halte Zeit B 0 1 ns 2 ns 3 ns Abb. 3.1: Zeitstrahl zum Kennzeichnen von Setup- und Halte-Zeit. c) Verzögerungszeit. Zeit nach der Taktflanke, bis den Wert von D übernimmt. d) Bedingung 1: t h < t φ Bedingung 2: t φ + t su + max(t d,nor,t d,xnor ) < T φ e) f) s. Abb. 3.2 g) Teilungsfaktor: 5 T φ t su max(t d,nor,t d,xnor ) > t φ 1 t su max(t d,nor,t d,xnor ) f φ = 20 ps ff (C L,max + C in + C out ) 1 0,6 ns 0,12 ns 800 MHz = ps 20 ff (C L,max + 4 ff + 6 ff) C L,max = 16,5 ff Integrierte Digitalschaltungen F07 - Seite 6 von 13

22 3 NOR φ Out 1 Out 2 Out XNOR φ Out 1 Out 2 Out Abb. 3.2: Zustandsdiagramme des Schieberegisters. Integrierte Digitalschaltungen F07 - Seite 7 von 13

23 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) In dieser Aufgabe werden grundlegende Kenntnisse der Hardwarebeschreibungssprache VHDL und ihre Anwendung auf den Entwurf mikroelektronischer Schaltungen geprüft. 4.1 In diesem Unterpunkt sollen allgemeine Fragen zu VHDL beantwortet werden. Bitte kennzeichnen Sie die von Ihnen gemachten Multiple-Choice-Antworten eindeutig! Pro Aufgabe können auch mehrere Antworten richtig sein! Falsche Kreuze führen zu Punktabzug! a) Geben Sie an, welche der folgenden Aussagen zu VHDL wahr sind: VHDL steht für Very High-level Design Language. VHDL kann auf der Register-Transfer-Ebene des Y-Diagramms von Gajski verwendet werden. In VHDL können alle Sprachkonstrukte synthetisiert werden. Eine VHDL-Beschreibung besitzt immer eine Entity. Die Beschreibung paralleler Anweisungen ist ein Grundprinzip von VHDL. b) Geben Sie an, welche der folgenden Aussagen zur Architecture wahr sind: In der Architecture wird die Funktion der Schaltung beschrieben. In der Architecture werden die Ein- und Ausgänge deklariert. Für die Architecture gibt es drei verschiedene Beschreibungsformen. Eine Architecture besitzt maximal einen Prozess. In der Architecture können Generics verwendet werden. c) Geben Sie an, welche der folgenden Aussagen zur Verhaltensbeschreibung wahr sind: Das Einbinden von Komponenten ist ein wesentliches Merkmal der Verhaltensbeschreibung. Die Verwendung von Prozessen ist ein wesentliches Merkmal der Verhaltensbeschreibung. Die Verhaltensbeschreibung ist die einzige Beschreibungsform, die synthetisierbar ist. Bei einer Verhaltensbeschreibung wird keine Configuration benötigt. Eine Verhaltensbeschreibung wird ausschließlich bei der Erstellung einer Testbench verwendet. Integrierte Digitalschaltungen F07 - Seite 8 von 13

24 4 d) Gegeben ist die folgende VHDL-Beschreibung: entity logik is port( clk,a,b,c : in std_logic; : out std_logic); end entity logik; architecture ARCH of logik is begin PROZ: process(clk) variable TEMP: std_logic; begin if clk event and clk= 1 then TEMP := A and B; <= TEMP and C; end if; end process PROZ; end architecture ARCH; Geben Sie an, welche der folgenden Aussagen zutreffend sind: Der Ausdruck process(clk) bedeutet, dass der Prozess PROZ auf das Taktsignal clk sensitiv ist und bei einer Änderung des Signalwertes von clk aktiviert wird. Die Verwendung von if clk event and clk= 1 then bedeutet, dass es sich um einen zur positiven Flanke des Taktsignals clk synchronen Prozess handelt. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A, B und C, die direkt an den Ausgang gegeben wird. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A, B und C, die über ein D-Flipflop an den Ausgang gegeben wird. Der uellcode beschreibt die UND-Verknüpfung der Eingänge A und B, die über ein D-Flipflop mit dem Eingang C UND-verknüpft ist. Das Ergebnis wird über ein weiteres D-Flipflop an den Ausgang gegeben. Integrierte Digitalschaltungen F07 - Seite 9 von 13

25 4 4.2 Geben Sie die VHDL-Beschreibung eines D-Flipflops mit einem 2:1-Multiplexer am D-Eingang (s. Abb. 4.1) an! Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Verhaltensbeschreibung einfügen! entity muxdff is port ( clk : in std_logic; D0 : in std_logic; D1 : in std_logic; sel : in std_logic; : out std_logic); end entity muxdff; D0 D1 sel clk 0 1 D muxdff architecture verhalten of muxdff is begin -- hier die Verhaltensbeschreibung eintragen: action: process(clk) begin if rising_edge(clk) then if sel= 0 then <= D0; else <= D1; end if; end if; end process action; end architecture verhalten; Abb. 4.1: Komponente muxdff 4.3 Nun soll die Komponente muxdff in dem Modul schaltung verwendet werden. Geben Sie die VHDL-Beschreibung für die Komponente schaltung nach Abb. 4.2 an! Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Strukturbeschreibung einfügen! entity schaltung is port ( clk : in std_logic; S : in std_logic; P : in std_logic_vector(2 downto 0); m : in std_logic; : out std_logic_vector(2 downto 0)); end entity schaltung; Integrierte Digitalschaltungen F07 - Seite 10 von 13

26 4 muxdff2 (2) muxdff1 (1) muxdff0 (0) S D0 D1 q_int(1) D0 D1 q_int(0) D0 D1 sel sel sel clk clk clk m clk P(2) P(1) P(0) Abb. 4.2: Komponente schaltung architecture struktur of schaltung is -- hier die Strukturbeschreibung eintragen: component muxdff is port ( clk : in std_logic; D0 : in std_logic; D1 : in std_logic; sel : in std_logic; : out std_logic); end component muxdff; signal q_int : std_logic_vector(1 downto 0); begin muxdff2: muxdff port map ( clk => clk, D0 => S, D1 => P(2), sel => m, => q_int(1)); muxdff1: muxdff port map ( clk => clk, D0 => q_int(1), D1 => P(1), sel => m, => q_int(0)); Integrierte Digitalschaltungen F07 - Seite 11 von 13

27 4 -- Fortsetzung der Strukturbeschreibung: muxdff0: muxdff port map ( clk => clk, D0 => q_int(0), D1 => P(0), sel => m, => (0)); (2) <= q_int(1); (1) <= q_int(0); end architecture struktur; 4.4 Nun soll die Funktion des Moduls schaltung überprüft werden. Ergänzen Sie hierzu in Abb. 4.3 die Signalverläufe der Ausgänge (2) bis (0)! Gehen Sie von einer idealen Simulation aus (keine Laufzeiten). clk S m P (2) (1) (0) Abb. 4.3: Signalverläufe der Komponente schaltung Integrierte Digitalschaltungen F07 - Seite 12 von 13

28 4 4.5 Beschreiben Sie in eigenen Worten die Funktion des Moduls schaltung! Es handelt sich um ein Schieberegister, das mit m= 1 mit dem Wert P vorgeladen werden kann. Ein weiterer möglicher Anwendungsfall ist die Verwendung der Schaltung für eine 4- bit-parallel-seriell-, bzw. 4-bit-Seriell-Parallel-Umsetzung. Mit m= 0 kann ein Datenwort über P eingelesen und in den nächsten vier Takten über (0) ausgegeben werden. Mit m= 1 kann ein serielles Datenwort über S in vier Takten in das Register eingelesen und dann parallel ausgegeben werden. 4.6 Nun soll die Funktion der Komponente schaltung direkt, d.h. ohne das Einbinden von weiteren Komponenten in VHDL beschrieben werden. Ergänzen Sie hierzu den folgenden uelltext, indem Sie die Funktion als Verhaltensbeschreibung einfügen! entity schaltung is port ( clk : in std_logic; S : in std_logic; P : in std_logic_vector(2 downto 0); m : in std_logic; : out std_logic_vector(2 downto 0)); end entity schaltung; architecture verhalten of schaltung is signal q_int : std_logic_vector(2 downto 0); begin action: process (clk) begin if rising_edge(clk) then if m= 1 then q_int <= P; else q_int(2) <= S; q_int(1) <= q_int(2); q_int(0) <= q_int(1); end if; end if; end process action; <= q_int; end architecture verhalten; Integrierte Digitalschaltungen F07 - Seite 13 von 13

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,7 - Atomdichte N cm 3 5,0 0 22 2,2 0 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen Binäre Bäume 1. Allgemeines Binäre Bäume werden grundsätzlich verwendet, um Zahlen der Größe nach, oder Wörter dem Alphabet nach zu sortieren. Dem einfacheren Verständnis zu Liebe werde ich mich hier besonders

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Das komplette Material finden Sie hier: Download bei School-Scout.de

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Microsoft Access 2013 Navigationsformular (Musterlösung)

Microsoft Access 2013 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2013 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2013) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Summenbildung in Bauteiltabellen mit If Then Abfrage

Summenbildung in Bauteiltabellen mit If Then Abfrage Summenbildung in Bauteiltabellen mit If Then Abfrage Die in Bauteiltabellen ausgelesenen Werte lassen sich in jeder Spalte als Summe berechnen. So können selbstverständlich die Flächen der in der Tabelle

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Stellvertretenden Genehmiger verwalten. Tipps & Tricks

Stellvertretenden Genehmiger verwalten. Tipps & Tricks Tipps & Tricks INHALT SEITE 1. Grundlegende Informationen 3 2.1 Aktivieren eines Stellvertretenden Genehmigers 4 2.2 Deaktivieren eines Stellvertretenden Genehmigers 11 2 1. Grundlegende Informationen

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können.

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Tutorial: Wie erfasse ich einen Termin? In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Neben den allgemeinen Angaben zu einem

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Übungsaufgaben zum 2. Versuch. Elektronik 1 - UT-Labor

Übungsaufgaben zum 2. Versuch. Elektronik 1 - UT-Labor Übungsaufgaben zum 2. Versuch Elektronik 1 - UT-Labor Bild 2: Bild 1: Bild 4: Bild 3: 1 Elektronik 1 - UT-Labor Übungsaufgaben zum 2. Versuch Bild 6: Bild 5: Bild 8: Bild 7: 2 Übungsaufgaben zum 2. Versuch

Mehr

Was meinen die Leute eigentlich mit: Grexit?

Was meinen die Leute eigentlich mit: Grexit? Was meinen die Leute eigentlich mit: Grexit? Grexit sind eigentlich 2 Wörter. 1. Griechenland 2. Exit Exit ist ein englisches Wort. Es bedeutet: Ausgang. Aber was haben diese 2 Sachen mit-einander zu tun?

Mehr

Microsoft Access 2010 Navigationsformular (Musterlösung)

Microsoft Access 2010 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2010 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2010) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN

ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN ERGÄNZUNGEN ZUR ANALYSIS II MITTELWERTSATZ UND ANWENDUNGEN CHRISTIAN HARTFELDT. Zweiter Mittelwertsatz Der Mittelwertsatz Satz VI.3.4) lässt sich verallgemeinern zu Satz.. Seien f, g : [a, b] R auf [a,

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Orientierungstest für angehende Industriemeister. Vorbereitungskurs Mathematik

Orientierungstest für angehende Industriemeister. Vorbereitungskurs Mathematik Orientierungstest für angehende Industriemeister Vorbereitungskurs Mathematik Weiterbildung Technologie Erlaubte Hilfsmittel: Formelsammlung Taschenrechner Maximale Bearbeitungszeit: 1 Stunde Provadis

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Praktikum Grundlagen der Elektrotechnik

Praktikum Grundlagen der Elektrotechnik raktikum Grundlagen der Elektrotechnik Kondensatoren und Spulen m Wechselstromkreis (ersuch 10) Fachhochschule Fulda Fachbereich Elektrotechnik durchgeführt von (rotokollführer) zusammen mit Matrikel-Nr.

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Übungsaufgaben zum 5. Versuch 13. Mai 2012

Übungsaufgaben zum 5. Versuch 13. Mai 2012 Übungsaufgaben zum 5. Versuch 13. Mai 2012 1. In der folgenden Schaltung wird ein Transistor als Schalter betrieben (Kennlinien s.o.). R b I b U b = 15V R c U e U be Damit der Transistor möglichst schnell

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01.

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01. DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 12 AM 22.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

HIER GEHT ES UM IHR GUTES GELD ZINSRECHNUNG IM UNTERNEHMEN

HIER GEHT ES UM IHR GUTES GELD ZINSRECHNUNG IM UNTERNEHMEN HIER GEHT ES UM IHR GUTES GELD ZINSRECHNUNG IM UNTERNEHMEN Zinsen haben im täglichen Geschäftsleben große Bedeutung und somit auch die eigentliche Zinsrechnung, z.b: - Wenn Sie Ihre Rechnungen zu spät

Mehr

Kapiteltests zum Leitprogramm Binäre Suchbäume

Kapiteltests zum Leitprogramm Binäre Suchbäume Kapiteltests zum Leitprogramm Binäre Suchbäume Björn Steffen Timur Erdag überarbeitet von Christina Class Binäre Suchbäume Kapiteltests für das ETH-Leitprogramm Adressaten und Institutionen Das Leitprogramm

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect

Mehr

9 Auto. Rund um das Auto. Welche Wörter zum Thema Auto kennst du? Welches Wort passt? Lies die Definitionen und ordne zu.

9 Auto. Rund um das Auto. Welche Wörter zum Thema Auto kennst du? Welches Wort passt? Lies die Definitionen und ordne zu. 1 Rund um das Auto Welche Wörter zum Thema Auto kennst du? Welches Wort passt? Lies die Definitionen und ordne zu. 1. Zu diesem Fahrzeug sagt man auch Pkw oder Wagen. 2. kein neues Auto, aber viel billiger

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme 1 Zwei Gleichungen mit zwei Unbekannten Es kommt häufig vor, dass man nicht mit einer Variablen alleine auskommt, um ein Problem zu lösen. Das folgende Beispiel soll dies verdeutlichen

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

Bearbeitungshinweise. (20 Punkte)

Bearbeitungshinweise. (20 Punkte) Bearbeitungshinweise - Es sind alle Aufgaben zu bearbeiten. - Als Hilfsmittel sind lediglich nicht programmierbare Taschenrechner erlaubt. - Die Klausur darf nicht auseinander genommen werden. - Sämtliche

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

Häufig wiederkehrende Fragen zur mündlichen Ergänzungsprüfung im Einzelnen:

Häufig wiederkehrende Fragen zur mündlichen Ergänzungsprüfung im Einzelnen: Mündliche Ergänzungsprüfung bei gewerblich-technischen und kaufmännischen Ausbildungsordnungen bis zum 31.12.2006 und für alle Ausbildungsordnungen ab 01.01.2007 Am 13. Dezember 2006 verabschiedete der

Mehr

Elektrischer Widerstand

Elektrischer Widerstand In diesem Versuch sollen Sie die Grundbegriffe und Grundlagen der Elektrizitätslehre wiederholen und anwenden. Sie werden unterschiedlichen Verfahren zur Messung ohmscher Widerstände kennen lernen, ihren

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Elektrische Spannung und Stromstärke

Elektrische Spannung und Stromstärke Elektrische Spannung und Stromstärke Elektrische Spannung 1 Elektrische Spannung U Die elektrische Spannung U gibt den Unterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei

Mehr

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken.

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Seite erstellen Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Es öffnet sich die Eingabe Seite um eine neue Seite zu erstellen. Seiten Titel festlegen Den neuen

Mehr

4. Physiktest Kapitel 04 Der elektrische Strom Teil 1 Grundlagen Gruppe 1

4. Physiktest Kapitel 04 Der elektrische Strom Teil 1 Grundlagen Gruppe 1 4. Physiktest Kapitel 04 Der elektrische Strom Teil 1 Grundlagen Gruppe 1 1. (2) Ergänze: Bereits die alten wussten, dass man Elektrizität durch Reiben von Bernstein (griechisch ) an Wolle hervorrufen

Mehr

Wiederkehrende Buchungen

Wiederkehrende Buchungen Wiederkehrende Buchungen Bereich: FIBU - Info für Anwender Nr. 1133 Inhaltsverzeichnis 1. Ziel 2. Vorgehensweise 2.1. Wiederkehrende Buchungen erstellen 2.2. Wiederkehrende Buchungen einlesen 3. Details

Mehr

Physik & Musik. Stimmgabeln. 1 Auftrag

Physik & Musik. Stimmgabeln. 1 Auftrag Physik & Musik 5 Stimmgabeln 1 Auftrag Physik & Musik Stimmgabeln Seite 1 Stimmgabeln Bearbeitungszeit: 30 Minuten Sozialform: Einzel- oder Partnerarbeit Voraussetzung: Posten 1: "Wie funktioniert ein

Mehr

Dossier: Rechnungen und Lieferscheine in Word

Dossier: Rechnungen und Lieferscheine in Word www.sekretaerinnen-service.de Dossier: Rechnungen und Lieferscheine in Word Es muss nicht immer Excel sein Wenn Sie eine Vorlage für eine Rechnung oder einen Lieferschein erstellen möchten, brauchen Sie

Mehr

Abiturprüfung Mathematik 2008 (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe 1

Abiturprüfung Mathematik 2008 (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe 1 Abiturprüfung Mathematik (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe Für jedes t f t () + t R ist die Funktion f t gegeben durch = mit R. Das Schaubild von f t heißt K t.. (6 Punkte)

Mehr

Vergleichsklausur 12.1 Mathematik vom 20.12.2005

Vergleichsklausur 12.1 Mathematik vom 20.12.2005 Vergleichsklausur 12.1 Mathematik vom 20.12.2005 Mit CAS S./5 Aufgabe Alternative: Ganzrationale Funktionen Berliner Bogen Das Gebäude in den Abbildungen heißt Berliner Bogen und steht in Hamburg. Ein

Mehr

V 2 B, C, D Drinks. Möglicher Lösungsweg a) Gleichungssystem: 300x + 400 y = 520 300x + 500y = 597,5 2x3 Matrix: Energydrink 0,7 Mineralwasser 0,775,

V 2 B, C, D Drinks. Möglicher Lösungsweg a) Gleichungssystem: 300x + 400 y = 520 300x + 500y = 597,5 2x3 Matrix: Energydrink 0,7 Mineralwasser 0,775, Aufgabenpool für angewandte Mathematik / 1. Jahrgang V B, C, D Drinks Ein gastronomischer Betrieb kauft 300 Dosen Energydrinks (0,3 l) und 400 Liter Flaschen Mineralwasser und zahlt dafür 50, Euro. Einen

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

3. GLIEDERUNG. Aufgabe:

3. GLIEDERUNG. Aufgabe: 3. GLIEDERUNG Aufgabe: In der Praxis ist es für einen Ausdruck, der nicht alle Detaildaten enthält, häufig notwendig, Zeilen oder Spalten einer Tabelle auszublenden. Auch eine übersichtlichere Darstellung

Mehr

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster Es gibt in Excel unter anderem die so genannten Suchfunktionen / Matrixfunktionen Damit können Sie Werte innerhalb eines bestimmten Bereichs suchen. Als Beispiel möchte ich die Funktion Sverweis zeigen.

Mehr

Aufgabe 5 Excel 2013 (Fortgeschrittene)

Aufgabe 5 Excel 2013 (Fortgeschrittene) - 1 - Aufgabe 5 Excel 2013 (Fortgeschrittene) 1. Starten Sie Excel und geben die Tabelle Hypothekenanalyse ein. Achten Sie bitte darauf, dass in den Zellen B10 und C11:G21 noch keine Angaben erfolgen.

Mehr

Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung

Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung - 1 - Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung 1. Die Tabelle mit den Werten und Gewichten der Gegenstände, sowie die Spalte mit der Anzahl ist vorgegeben und braucht nur eingegeben zu werden

Mehr

Theoretische Grundlagen der Informatik WS 09/10

Theoretische Grundlagen der Informatik WS 09/10 Theoretische Grundlagen der Informatik WS 09/10 - Tutorium 6 - Michael Kirsten und Kai Wallisch Sitzung 13 02.02.2010 Inhaltsverzeichnis 1 Formeln zur Berechnung Aufgabe 1 2 Hamming-Distanz Aufgabe 2 3

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

e LEARNING Kurz-Anleitung zum Erstellen eines Wikis 1. Wiki erstellen

e LEARNING Kurz-Anleitung zum Erstellen eines Wikis 1. Wiki erstellen Kurz-Anleitung zum Erstellen eines Wikis Die Aktivität Wiki verschafft Ihnen die Möglichkeit, Wissen zu sammeln und zu strukturieren. Dabei können Sie die Teilnehmer Ihres Kurses an der Erstellung des

Mehr