9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe

Größe: px
Ab Seite anzeigen:

Download "9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe"

Transkript

1 PIO = Programmed IO DMA. 9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe Erhebliche Belastung der CPU: - Instruktionen aus dem Speicher holen, - Speicherwort in ein CPU-Register lesen, - CPU-Register in Geräteregister speichern, - Leseposition im Speicher inkrementieren, - Umschalten auf die Interruptroutine, - Abfragen des Gerätes aus Schleife? PIO-Modi für Festplatten: PIO Mode Cycle Time Mbytes/sec Standard Dokument Mode ns 3.3 ATA Mode ns 8.3 ATA Mode ns 11.1 ATA-2 Mode ns 16.7 ATA-2 Buf z.b. UART IP AL CPU DI Hauptspeicher Systemprogrammierung I, Sommer 2000, P. Schulthess 1

2 9.2 Wozu DMA und nicht PIO-X? Die CPU kann nebenläufig andere Tasks erledigen. Besseres Echtzeitverhalten bei Medienströmen (Sound, ISDN...). DMA-Steuerung liefert anstelle der CPU die Speicheradresse. Typische DMA-Devices (möglichst mit PCI-DMA): - Diskettenansteuerung, - Netzwerkadapter, - Framegrabber, - Grafikkarte, - Sound, - Disk... DMA- Kontroller Direkter Datentransport: z.b. - ohne Umweg über Prozessorregister, Floppy - zwischen Peripherie & Hauptspeicher, - auch Speicher-zu-Speicher Übertragung möglich. CPU Hauptspeicher Systemprogrammierung I, Sommer 2000, P. Schulthess 2

3 A - DMA Schaltkreise im PC 2 kaskadierte DMA-Kontroller (8237): - Kanäle 0..3 für byteweise Übertragung, - Kanäle 5..7 für wortweise Übertragung, - Kanal #4 zur Kaskadierung => Datenrate mit 8237 DMA-Kontroller: - 8 MBps: 8 Bit Bytes mit 8 MHz, - 16 MBps: 16 Bit Bytes mit 8 MHz. Legacy DMA für Geräte: - IDE Festplatte (#7), - DMA-Slave (#4), - Diskette (#2), - Soundkarte, - DRAM-Refresh (#0). Hold- Req. Kanal 3 Kanal 2 Kanal 1 Kanal 0 Hold- Req. Kanal 7 Kanal 6 Kanal 5 Kanal 4 DMA-1 DMA- 2 Systemprogrammierung I, Sommer 2000, P. Schulthess 3

4 9.2.2 DMA Prinzip für den Baustein 8237A Device fordert DMA Zyklen beim 8237a. DMA Kontroller steuert Übertragung: - Bus anfordern über Hold-Request, - CPU zieht sich vom Bus zurück. - Speicheradresse auf den Bus legen, - Read/Write Strobe zum Hauptspeicher. - Device schickt oder übernimmt Daten. CPU programmiert Peripherieregister: - Maskierungs- und Requestregister, - Adressregister und Zählregister, - externes Pageregister, - Status & Modus. DREQ DMA-cntl 8237 Buf z.b. UART DACK, EOP CPU HRQ HLDA Adresse Addresslatch wird vom 8239A bedient. Hauptspeicher Systemprogrammierung I, Sommer 2000, P. Schulthess 4

5 9.3 DMA Programmierung im PC ISA-Busleitungen speziell für DMA: - DMA-Requests [0..7], DMA-Acknowledge[0..7], EOP (end-of-process), - HRQ (Hold Request), HLDA (Hold Acknowledge). Ablauf eines DMA-Transfers auf dem ISA-Bus: - DREQ: Device => 8237, - HRQ: 8237 => CPU, - HLDA: CPU => 8237, - A[..]: 8237 legt Adresse auf den Bus, - DACK: 8237 => Device, - MEMW: 8237 => Hauptspeicher (write), - D[..]: Device => Hauptspeicher,... Übertragen des Speicherblockes... - EOP: 8237 => Device (End-of-Process), - not HRQ: 8237 => CPU... Systemprogrammierung I, Sommer 2000, P. Schulthess 5

6 9.4 DMA-Registersatz Steuer- & Statusregister im 8237 jeweils für DMA-1 und DMA-2: $08 $D0 Statusregister (lesen), Befehlsregister (schreiben), $09 $D2 Requestregister (1 Kanal/Befehl), $0A $D4 Kanalmaskierung (1 K./Befehl), $0B $D6 Modus ( Betriebsart: Burst...), $0D $DA Zwischenregister ( Sp.-Sp.). $0F $DE Maskierung ( 4 Bit Maske), Adress- und Zählregister (16 Bit): $00 $C0 Basisadresse Kanal 0/4 $01 $C1 Zählregister Kanal 0/4 $02 $C2 Basisadresse Kanal 1/5 $03 $C3 Zählregister Kanal 1/5 $04 $C4 Basisadresse Kanal 2/6 $05 $C5 Zählregister Kanal 2/6 $06 $C6 Basisadresse Kanal 3/7 $07 $C7 Zählregister Kanal 3/7 DMA-1 verschiedene Steuer- & Status- Basisadresse register Basisadresse Basisadresse Basisadresse verschiedene Steuer- & Statusregister Zusätzlich eine aktuelle Kopie von Adresse und $00 für jeden Kanal. Systemprogrammierung I, Sommer 2000, P. Schulthess 6 $C0 Basisadresse Basisadresse Basisadresse Basisadresse DMA-2

7 Statusregister: - REQ[ 0..3]: Laufender Request? - TC[ 0..3]: Terminal Count für welchen Kanal? Auslösung einer DMA-Sequenz: - Software: Request-Register setzen - Hardware: DREQ[0..3, 5..7] vom Device, - Autoinit.: falls Wiederholung gewünscht und Zählwert=$FFFF. Externe Register: - DMA Page Register explizit mit den Treiber setzen, - DMA Adress Latch wird durch 8237 gesetzt, - je nachdem Wort- oder Byteadresse. Detaillierte Chip-Dokumentation erforderlich: - ftp://download.intel.com/support/controllers/peripheral/ pdf, - Tobias plurix.de, - Messmer, PC-Hardware... Systemprogrammierung I, Sommer 2000, P. Schulthess 7

8 9.5 DMA Befehle OUT <Befehl>, AL : - Portadresse als Befehl interpretiert, Datenbyte in AL wird ignoriert. Befehl: Internes Flip-Flop zurücksetzen: - FF bestimmt welche Hälfte der 16 Bit Register als nächstes geschrieben weden soll, - niederwertiges Byte zuerst geschrieben - an Portadresse $0C/$D8 für DMA-1/2. Befehl: Master Clear: - praktisch alle Register (+FF) zurücksetzen, entspricht DMA Hardware Reset, - an Portadresse $0D/$DA für DMA-1/DMA-2, Befehl: Maskierungsregister löschen: - alle DMA Kanäle werden freigegeben, - an Portadresse $0E/$DC für DMA-1/DMA-2. Kanal erst freigegeben, nachdem Adress- & Zählregister gesetzt wurde, sonst wildes Schreiben irgendwo möglich! Systemprogrammierung I, Sommer 2000, P. Schulthess 8

9 9.6 DMA Betriebsarten Datenformat: - 8 Bit (DMA-0.. DMA-3), - 16 Bit (DMA-5.. DMA-7). Übertragungsrichtung: - Lesen vom Hauptspeicher, - Schreiben zum Hauptspeicher, - int. DMA-Funktion verifizieren, - Speicher zu Speicher (paarweise mit #0 & #1). DREQ Burstorganisation: - Einzeltransfer (1 mal DREQ pro Byte/Wort), - Demand-Transfer ( ~DREQ suspendiert nur), - Block-Transfer (DREQ ohne Bedeutung), - Kaskadierung (Durchreichen der Steuerung). Einzeltransfer Demand-Tr. Blocktransfer t Systemprogrammierung I, Sommer 2000, P. Schulthess 9

10 Zyklusverlängerung durch das Peripheriegerät über den READY-Pin. Systemprogrammierung I, Sommer 2000, P. Schulthess 10

11 9.7 Bewertung Höhere Geschwindigkeit erforderlich: - für neuere Soundkarten, Grafikkarten, Netzwerkadapter, SCSI-Kontroller... - Devices mit integrierter Bus-Masterfunktion am PCI-Bus, - 8 MHz ISA-Taktrate reicht nicht mehr aus. Adressierung: - Nur maximal 24 Bit Adresse, nur physikalische Adressierung. - Pageregister durch CPU setzen (64K Grenze) setzt das Adress-Latch automatisch. Umständliche Erzeugung der Adresse aus: - Low Page Register für A[ ], - Adress-Latch für A[ ], - A[ ] vom Moderne Chipsets integrieren auch eine kompatible DMA-Funktion, dabei wird das Management der Adressregister vereinfacht. Systemprogrammierung I, Sommer 2000, P. Schulthess 11

12 9.8.1 Bus-Mastering 9.8 Bus-Mastering am PCI-Bus (PCI-DMA) Die Fähigkeit eines Gerätes Kontrolle über den Bus zu erlangen, bzw. Adressen auf den Bus legen zu dürfen. Am PCI-Bus Datenraten bis 266 MBytes/sec möglich. Die Geräte haben DMA-Funktion integriert. Kein Third-Party DMA am PCI-Bus. Bus-Arbitrierung: - Bus-Mastering auch am ISA-Bus möglich - Verteilte oder zentrale Implementierung. Grant, Acknowledge Bus-Arbitrierung Request Gerät 1 Bus-Master! Gerät N Systemprogrammierung I, Sommer 2000, P. Schulthess 12

13 9.8.2 PCI-ISA Bridge Anschluss an den primären PCI Bus. Kommuniziert mit Host-PCI Bridge. Integriert die "alten" PC-Funktionen: - ISA Bus Steuerung, Real-Time Clock, - IDE Festplatten-Kontroller, AGP - Ultra DMA/33 Kontroller, - DMA Kontroller, Timer, - Interrupt Kontroller. Neue Funktionen: UDMA, IDE - Stromspar-Funktionen, USB - 3,3 Volt Betrieb möglich, - Adv. Prog. Int. Contr. (APIC), - System Management Bus (SMBus), - Universal Serial Bus Kontroller (USB). CPU Host-PCI PCI-ISA Speicher PCI ISA Systemprogrammierung I, Sommer 2000, P. Schulthess 13

14 9.8.3 Ultra DMA/ ATA/IDE-Kabel, Stecker und Busformate beibehalten. Koexistenz mit alten IDE-Platten möglich. Übertragungsrate auf 33 Mbyte/sec erhöhen: - Taktung durch positive & negative Flanke: Takt - scheinbare Taktverdoppelung, - 16 Bit Übertragung, Ultra DMA Funktion benötigt: - DMA Kontroller in PCI-ISA-IDE Brücke, - oder Ultra DMA Kontroller in PCI-Slot, - moderne IDE-Festplatte. 60µs 120µs Ultra DMA/66 und Ultra DMA/100: - 80 poliges Verbindungskabel mit zusätzlichen Erdleitungen, - gleiche Steckerabmessungen, - höhere Taktrate. DMA-Transfer Ultra DMA/33 Transfer t Systemprogrammierung I, Sommer 2000, P. Schulthess 14

15 9.8.4 Ultra DMA Übertragungsverfahren Normierung durch ATA/ATAPI: Ultra DMA Cycle Time Mbytes/sec Standard Dokument Mode ATA/ATAPI-4 Mode ATA/ATAPI-4 Mode ATA/ATAPI-4 Mode ATA/ATAPI-5 Mode ATA/ATAPI-5 Mode ATA/ATAPI-6? Paritätsprüfung auf dem ATAPI-Link mit evtl. Wiederholung. Ansteuerung von Festplatten siehe später. Systemprogrammierung I, Sommer 2000, P. Schulthess 15

9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe

9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe PIO = Programmed IO DMA. 9. Direct Memory Access 9.1 Programmierte Ein/Ausgabe Erhebliche Belastung der CPU: - Instruktionen aus dem Speicher holen, - Speicherwort in ein CPU-Register lesen, - CPU-Register

Mehr

Datenübertragung per Direct Memory Access (DMA)

Datenübertragung per Direct Memory Access (DMA) Datenübertragung per Direct Memory Access (DMA) Durch einen direkten Speicherzugriff können die Daten ohne Umweg über den Prozessor in den Speicher geschrieben werden. So lässt sich die Ausführungsgeschwindigkeit

Mehr

2.5. Mikrocontroller-Komponenten

2.5. Mikrocontroller-Komponenten 2.5.6 DMA Für besonders schnellen Datentransfer können leistungsfähige Mikrocontroller Daten ohne Beteiligung des Prozessorkerns transportieren: DMA (Direct Memory Access) Ein DMA-Datentransfer kann stattfinden

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

Virtueller Speicher und Memory Management

Virtueller Speicher und Memory Management Virtueller Speicher und Memory Management Speicher-Paradigmen Programmierer ein großer Adressraum linear adressierbar Betriebssystem eine Menge laufender Tasks / Prozesse read-only Instruktionen read-write

Mehr

Busse. Dr.-Ing. Volkmar Sieh WS 2005/2006. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg

Busse. Dr.-Ing. Volkmar Sieh WS 2005/2006. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg Einleitung Bus-Konfiguration Bus-Arbitrierung Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Einleitung Bus-Konfiguration Bus-Arbitrierung

Mehr

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse 1/40 2008-10-13 Übersicht 1 Einleitung 2 Bus-Konfiguration

Mehr

Hardware und Gerätetreiber

Hardware und Gerätetreiber Hardware und Gerätetreiber Betriebssysteme Hermann Härtig TU Dresden Übersicht Übersicht Kommunikation zwischen Hardware und CPU Interrupts I/O-Ports I/O-Speicher Busse Verwaltung von Geräten Dynamisches

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Hardware PCI-Bus 1/23 2008-08-06 Übersicht Inhalt:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 Hardware PCI-Bus 1/23 2007-10-26 Übersicht Inhalt:

Mehr

11. Die PC-Schnittstelle

11. Die PC-Schnittstelle PC-Schnittstelle Funktion -1. Die PC-Schnittstelle.1. Funktion Die folgenden Angaben gelten ohne Einschränkung für den PC, PC-XT, PC-AT, AT-386, AT-486 und kompatible Rechner. Sie sind nur für jene interessant,

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Aufgabe 1 Entwicklung einer Virtuellen Maschine

Aufgabe 1 Entwicklung einer Virtuellen Maschine Aufgabe 1 Entwicklung einer Virtuellen Maschine Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Entwicklung

Mehr

RAM. Konsistenzprobleme entstehen => CPU - durch Verzögerung in Warteschlange, Umfangreiche Pufferung in den PCI Brücken. lesen. EOP-Signal.

RAM. Konsistenzprobleme entstehen => CPU - durch Verzögerung in Warteschlange, Umfangreiche Pufferung in den PCI Brücken. lesen. EOP-Signal. 11. s 11.1 Pufferspeicher Lesepuffer um vorauszulesen: - erste Leseoperation hat lange Latenzzeit, - Folgedaten vorsorglich schon gelesen, - "prefetch buffer". Schreibpuffer um ein Blockieren des schreibenden

Mehr

ZENTRALEINHEITEN GRUPPE

ZENTRALEINHEITEN GRUPPE 31. Oktober 2002 ZENTRALEINHEITEN GRUPPE 2 Rita Schleimer IT für Führungskräfte WS 2002/03 1 Rita Schleimer TEIL 1 - Inhalt Zentraleinheit - Überblick Architekturprinzipien Zentralspeicher IT für Führungskräfte

Mehr

5 Direct Memory Access

5 Direct Memory Access 5 Direct Memory Access oft werden lange Datenströme aus dem Speicher zur Peripherie ausgegeben, bzw. von der Peripherie in den Speicher eingelesen ( unnötige Belastung der CPU mit trivialen Aufgaben: Inkrementieren

Mehr

5 Direct Memory Access (2) 5 Direct Memory Access. 5 Direct Memory Access (3) 5 Direct Memory Access (4)

5 Direct Memory Access (2) 5 Direct Memory Access. 5 Direct Memory Access (3) 5 Direct Memory Access (4) 5 Direct Memory Access oft werden lange Datenströme aus dem Speicher zur Peripherie ausgegeben, bzw. von der Peripherie in den Speicher eingelesen ( unnötige Belastung der CPU mit trivialen Aufgaben: Inkrementieren

Mehr

Teil 3: Parallel-I/O. Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet

Teil 3: Parallel-I/O. Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet Teil 3: Parallel-I/O Studiengang Technische Informatik (TI) Prof Dr-Ing Alfred Rożek nur für Lehrzwecke Vervielfältigung nicht gestattet EMC45: Teil3 2112003 Folie: 1 Prof Dr-Ing Alfred Rozek Berlin Beispiel:

Mehr

3. Rechnerarchitektur

3. Rechnerarchitektur ISS: EDV-Grundlagen 1. Einleitung und Geschichte der EDV 2. Daten und Codierung 3. Rechnerarchitektur 4. Programmierung und Softwareentwicklung 5. Betriebssyteme 6. Internet und Internet-Dienste 3. Rechnerarchitektur

Mehr

Ein- und Ausgabegeräte

Ein- und Ausgabegeräte Blockorientiert Jeder Block kann unabhängig gelesen und geschrieben werden. Festplatten, CD-ROMs, USB-Sticks, etc. Zeichenorientiert Keine Struktur, nicht adressierbar, Daten werden als Folge von Zeichen

Mehr

11. Caches Pufferspeicher

11. Caches Pufferspeicher Lesepuffer um vorauszulesen: - erste Leseoperation hat lange Latenzzeit, - Folgedaten vorsorglich schon gelesen, - "prefetch buffer". 11. s 11.1 Pufferspeicher Schreibpuffer um ein Blockieren des schreibenden

Mehr

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins

Datentechnik. Prinzipieller Aufbau eines Schnittstellenbausteins Prinzipieller Aufbau eines Schnittstellenbausteins DB /CS A0-Ai R/W Reset Takt Int IntAck zum µp Datenbus Puffer Steuerung Interruptsteuerung & IF Statusregister IE Steuerregister Befehlsregister Datenregister

Mehr

Rechnerstrukturen. 6. System. Systemebene. Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Prozessor.

Rechnerstrukturen. 6. System. Systemebene. Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Prozessor. Rechnerstrukturen 6. System Systemebene 1 (Monoprozessor) 2-n n (Multiprozessor) s L1- in der L2- ( oder Motherboard) ggf. L3- MMU Speicher Memory Controller (Refresh etc.) E/A-Geräte (c) Peter Sturm,

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

EMC: Parallel-I/O Folie: 2 Prof. Dr.-Ing. Alfred Rozek TFH Berlin

EMC: Parallel-I/O Folie: 2 Prof. Dr.-Ing. Alfred Rozek TFH Berlin PIO-Karte für den PC Aufbau einer einfachen PC-Interface-Karte mit dem Portbaustein 8255 EMC: Parallel-I/O Folie: 1 Prof. Dr.-Ing. Alfred Rozek TFH Berlin Centronics-Schnittstelle 1 Dieser Baustein kontrolliert

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Chipsatz und untergeordnete. (erweiterte) Systembusse ISA, PCI, PCIe

Chipsatz und untergeordnete. (erweiterte) Systembusse ISA, PCI, PCIe Chipsatz und untergeordnete (erweiterte) Systembusse ISA, PCI, PCIe NVIDIA ist eines der Kernmitglieder der PCI Express SIG PCI wurde als BUS zwischen der entstandenen North und Southbridge von Intel 1992

Mehr

4.0 Der Atmel AT89LPx052 Mikrocontroller

4.0 Der Atmel AT89LPx052 Mikrocontroller 4.0 Der Atmel AT89LPx052 Mikrocontroller Die ersten beiden Derivate der Atmel LP Familie sind der AT89LP2052 und der AT89LP4052 in verschiedenen Gehäusevarianten mit 2 Kbytes bzw. 4 KBytes Flash. Gegenüber

Mehr

Busarchitekturen im PC. Roland Zenner, 03INF

Busarchitekturen im PC. Roland Zenner, 03INF Busarchitekturen im PC Roland Zenner, 03INF Agenda Einleitung Geschichtliche Entwicklung Ausgewählte Bussysteme ISA/EISA Bus PCI Bus PCI Express USB Quellen Roland Zenner, 03INF 2 Einleitung Definition

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Betriebssysteme Vorstellung

Betriebssysteme Vorstellung Am Anfang war die Betriebssysteme Vorstellung CPU Ringvorlesung SE/W WS 08/09 1 2 Monitor CPU Komponenten eines einfachen PCs Bus Holt Instruktion aus Speicher und führt ihn aus Befehlssatz Einfache Operationen

Mehr

Mikrorechner-Technik

Mikrorechner-Technik Springer-Lehrbuch Mikrorechner-Technik Band II Busse, Speicher, Peripherie und Mikrocontroller Bearbeitet von Helmut Bähring Neuausgabe 2002. Taschenbuch. xxvii, 425 S. Paperback ISBN 978 3 540 43693 5

Mehr

Benutzerhandbuch 3,5" HDD-LAUFWERK SATA - USB (DA-70575)

Benutzerhandbuch 3,5 HDD-LAUFWERK SATA - USB (DA-70575) SATA - USB 3,5" HDD-LAUFWERK (DA-70575) Benutzerhandbuch INHALT 1. Eigenschaften........................... 1 2. Systemanforderungen..................... 3 3. Treiber-Installation.......................

Mehr

Adressierung von Speichern und Eingabe- Ausgabegeräten

Adressierung von Speichern und Eingabe- Ausgabegeräten Adressierung von Speichern und Eingabe- Ausgabegeräten Adressdecodierung Die Busstruktur von Prozessorsystemen verbindet die Bauteile über gemeinsame Leitungen. Auf dem Bus darf zu einer Zeit immer nur

Mehr

Systemprogrammierung II

Systemprogrammierung II Systemprogrammierung II Blätter zur Vorlesung Wintersemester 2005/06 Prof. Dr. Peter Schulthess Universität Ulm Verteilte Systeme Fakultät für Informatik 1. Einleitung 1.1. Organisation Termin ab 17.10.05,

Mehr

Interruptverarbeitung

Interruptverarbeitung Interruptverarbeitung Ein Interruptaufruf unterbricht die gerade ablaufende Befehlsfolge in der Weise, daß nach Beendigung des laufenden Befehls nicht der nächste Befehl des Hauptprogramms ausgeführt,

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

MSP 430. Einführung. Was kann er? Hauptthemen. Wie sieht er aus? 64 / 100 polig. Was kann er? MSP 430 1

MSP 430. Einführung. Was kann er? Hauptthemen. Wie sieht er aus? 64 / 100 polig. Was kann er? MSP 430 1 MSP 430 Mixed Signal Microcontroller MSP 430 Einführung Der Mikrocontrollers MSP430 von Texas Instruments Das Entwicklungsboard MSP-STK 430A320 http://www.ti.com Texas Instruments 1 Texas Instruments 2

Mehr

Übersicht. Busse. Übersicht. Bus, Bridge, I/O-Controller. Einleitung Hersteller-Konfiguration Manuelle Konfiguration Programmierbare Konfiguration

Übersicht. Busse. Übersicht. Bus, Bridge, I/O-Controller. Einleitung Hersteller-Konfiguration Manuelle Konfiguration Programmierbare Konfiguration Übersicht 1 Busse Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 2 Bus-Konfiguration 3 Bus-Arbitrierung Busse 1/40 2008-10-13

Mehr

Konzepte und Methoden der Systemsoftware. Aufgabe 1: Polling vs Interrupts. SoSe bis P

Konzepte und Methoden der Systemsoftware. Aufgabe 1: Polling vs Interrupts. SoSe bis P SoSe 2014 Konzepte und Methoden der Systemsoftware Universität Paderborn Fachgebiet Rechnernetze Präsenzübung 3(Musterlösung) 2014-05-05 bis 2014-05-09 Aufgabe 1: Polling vs Interrupts (a) Erläutern Sie

Mehr

Grundlagen der Rechnerarchitektur. Ein und Ausgabe

Grundlagen der Rechnerarchitektur. Ein und Ausgabe Grundlagen der Rechnerarchitektur Ein und Ausgabe Übersicht Parallele und Serielle Busse Zugriff auf IO Geräte Parallelität und IO: Raid Systeme Grundlagen der Rechnerarchitektur Ein und Ausgabe 2 Parallele

Mehr

E Mikrocontroller-Programmierung

E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E.1 Überblick Mikrocontroller-Umgebung Prozessor am Beispiel AVR-Mikrocontroller Speicher Peripherie Programmausführung Programm laden

Mehr

Timer. Funktionsprinzip

Timer. Funktionsprinzip Timer Funktionsprinzip 8-Bit-Timer des ATmega28 Beispiel Timer im Polling- und Interrupt-Betrieb Funktionsprinzip Timer ist ein in Hardware realisierter i Zähler ändert seinen Zählerstand mit einer vorgegebenen

Mehr

Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen

Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen Das Motherboard (Hauptplatine) beinhaltet Prozessor (CPU), Speicher (RAM) Anschlüsse für interne Disks, Steckkarten, Anschlüsse nach aussen Damit man grosse Zahlen abkürzen kann, behilft man sich dieser

Mehr

Ein und Ausgabe. von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1.

Ein und Ausgabe. von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1. Ein und Ausgabe von Neumann Konzept enthält folgende Komponenten: Rechenwerk Steuerwerk Speicher Eingabewerk Ausgabewerk (siehe 1. Vorlesung) v. Neumann Architektur Eingabewerk Speicher Ausgabewerk Rechenwerk

Mehr

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil Bedienungsanleitung EX-1031 4 Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil V1.1 18.03.13 EX-1031 4 Slot PCI-Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik I Datenübertragung Parallel z.b. PCI D0... D8 8 parallele Datenleitungen n parallele Steuerleitungen

Mehr

Hardware & Kernel-Module

Hardware & Kernel-Module Hardware & Kernel-Module Linux-Kurs der Unix-AG Zinching Dang 09. Juli 2013 Hardwarekomponenten Mainboard CPU RAM Grafikkarte Festplatte Optische und Wechsel-Datenträger Peripherie Zinching Dang Hardware

Mehr

Einführung. Übungen zur Vorlesung Virtuelle Maschinen. Stefan Potyra. SoSe 2009

Einführung. Übungen zur Vorlesung Virtuelle Maschinen. Stefan Potyra. SoSe 2009 Einführung Übungen zur Vorlesung Virtuelle Maschinen Stefan Potyra Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SoSe 2009 Übungsaufgaben 1 Entwickeln

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Erweiterung

Mehr

Grundlagen der Rechnerarchitektur. Ein und Ausgabe

Grundlagen der Rechnerarchitektur. Ein und Ausgabe Grundlagen der Rechnerarchitektur Ein und Ausgabe Übersicht Grundbegriffe Hard Disks und Flash RAM Zugriff auf IO Geräte RAID Systeme SS 2012 Grundlagen der Rechnerarchitektur Ein und Ausgabe 2 Grundbegriffe

Mehr

Lehrveranstaltung Speichersysteme Sommersemester 2009

Lehrveranstaltung Speichersysteme Sommersemester 2009 Lehrveranstaltung Speichersysteme Sommersemester 2009 Kapitel 9: Verbindungstechnologien I André Brinkmann Gliederung Anbindung von I/O an Prozessoren Memory mapped I/O vs. Ported IO Programmed I/O vs.

Mehr

Intelligenter Modemadapter für den PC

Intelligenter Modemadapter für den PC Intelligenter Modemadapter für den PC Jürgen Hasch, DG1SCR, Meisenstr. 23, 73066 Uhingen Motivation Möchte man an einem PC mehrere Modems betreiben, so hat man die Wahl zwischen einer quasi-passiven Lösung

Mehr

9. Assembler: Der Prozessor Motorola 68000

9. Assembler: Der Prozessor Motorola 68000 9.1 Architektur des Prozessors M 68000 9.2 Adressierungsarten des M 68000 9-1 9.1 Beschreibung des Prozessors M 68000 Charakteristische Daten des 56 Maschinenbefehle 14 Adressierungsarten Zweiadressmaschine

Mehr

9. Assembler: Der Prozessor Motorola 68000

9. Assembler: Der Prozessor Motorola 68000 9.1 Architektur des Prozessors M 68000 9.2 Adressierungsarten des M 68000 9-1 9.1 Beschreibung des Prozessors M 68000 Charakteristische Daten des 56 Maschinenbefehle 14 Adressierungsarten Zweiadressmaschine

Mehr

Lösung von Übungsblatt 3

Lösung von Übungsblatt 3 Lösung von Übungsblatt 3 Aufgabe 1 (Rechnerarchitektur) 1. Welche drei Komponenten enthält der Hauptprozessor? Rechenwerk, Steuerwerk und Speicher. 2. Welche drei digitalen Busse enthalten Rechnersysteme

Mehr

SATA - USB 2,5" HDD-LAUFWERK (DA-70555) Benutzerhandbuch

SATA - USB 2,5 HDD-LAUFWERK (DA-70555) Benutzerhandbuch SATA - USB 2,5" HDD-LAUFWERK (DA-70555) Benutzerhandbuch INHALT 1. Eigenschaften........................... 1 2. Systemanforderungen.................... 1 3. Treiber-Installation.......................

Mehr

Systemprogrammierung I

Systemprogrammierung I Blätter zur Vorlesung Systemprogrammierung I Sommersemester 2005 Prof. Dr. Peter Schulthess Fakultät für Informatik Verteilte Systeme Universität Ulm 1 Systemprogrammierung I, Sommer 2005, VS Informatik,

Mehr

EX PCI & 2 PCI-E

EX PCI & 2 PCI-E Bedienungsanleitung EX-1020 2 PCI & 2 PCI-E Box Zur Erweiterung von 2 PCI & 2 PCI-Express Anschlüsse V1.2 12.12.13 EX-1020 2 PCI & 2 PCI-E Slot Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG 3 2. LAYOUT

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

2

2 TINF Interrupts EDT-Referat Jürgen Schwarzbauer 2ANB 1995/96 Inhalt : Was ist ein Interrupt? Zweck von Interrupts Maskierbare und nicht maskierbare Interrupts Aufruf eines Interrupts Anwendung von Interrupts

Mehr

Rechnerstrukturen, Teil 2

Rechnerstrukturen, Teil 2 12 Rechnerstrukturen, Teil 2 Vorlesung 4 SWS WS 17/18 2.5 Kommunikation, Ein-/Ausgabe Prof. Dr. Jian-Jia Chen Fakultät für Informatik Technische Universität Dortmund jian-jia.chen@cs.uni-.de http://ls12-www.cs.tu-.de

Mehr

CompactPCI Tualatin CPU CC7-JAZZ

CompactPCI Tualatin CPU CC7-JAZZ Produktinformation CompactPCI Tualatin CPU CC7-JAZZ Dokument Nr. 2549 Edition 09/2002 Wahlweise mit einem Intel 0,13: Tualatin- Celeron oder -Pentium III 1,26GHz Prozessor bestückt, ist der CC7-JAZZ ein

Mehr

EX PCI & 2 PCI-E

EX PCI & 2 PCI-E Bedienungsanleitung EX-1041 2 PCI & 2 PCI-E Box Zur Erweiterung von 2 PCI & 2 PCI-Express Anschlüsse für lange Karten inklusive internem 220Watt Netzteil V1.3 18.12.13 EX-1041 2 PCI & 2 PCI-E Slot Erweiterung

Mehr

Systementwurf mit Excalibur

Systementwurf mit Excalibur Handout zum Referat von Jan Suhr am 14.07.2001 im Seminar Mikroprozessoren von Norman Hendrich zum Thema: Systementwurf mit Excalibur Im Vergleich zu festverdrahteten Bausteinen wie ASIC's oder ASSP's

Mehr

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Technische Daten 16-Bit RISC Architektur bis zu 16 Mhz Vcc: 1,8-3,6V 64 KB FRAM 2 KB SRAM 7 Schlafmodi 5 16-Bit

Mehr

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard

EX Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard Bedienungsanleitung EX-1015 4 Slot PCI Box Zur Erweiterung um 4 PCI Anschlüsse für ExpressCard V1.1 15.03.13 EX-1015 4 Slot PCI-Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG 3 2. LAYOUT 3 3. HARDWARE

Mehr

8. Beschreibung des Prozessors MSP 430

8. Beschreibung des Prozessors MSP 430 8. Beschreibung des Prozessors MSP 430 8.1 Die Eigenschaften des MSP 430 8.2 Die Register des MSP 430 8.3 Der Aufbau des Speichers 8.4 Interrupts 8.5 Der Watchdog Programmierkurs II Wolfgang Effelsberg

Mehr

EX Slot PCI Box Zur Erweiterung von 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil

EX Slot PCI Box Zur Erweiterung von 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil Bedienungsanleitung EX-1031 4 Slot PCI Box Zur Erweiterung von 4 PCI Anschlüsse für lange Karten inklusive internem 220Watt Netzteil V1.3 17.12.13 EX-1031 4 PCI Slot Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG

Mehr

SATA 2 und 3. Gruppe 8. Unser Weg ist Ihr Ziel. Der Spezialist für ADD-On Produkte. Tel. +41 44 8217818 Fax +41 44 8217820. Fax +49 6171 975697

SATA 2 und 3. Gruppe 8. Unser Weg ist Ihr Ziel. Der Spezialist für ADD-On Produkte. Tel. +41 44 8217818 Fax +41 44 8217820. Fax +49 6171 975697 Der Spezialist für ADD-On Produkte Vers. 1.2_20.01.2015 SATA 2 und 3 Gruppe 8 Unser Weg ist Ihr Ziel EXSYS Vertriebs GmbH Industriestr. 8 61449 Steinbach/Ts. Deutschland D - Deutschland verkauf@exsys.de

Mehr

Ressourcenübersicht Rack PC 840, Box PC 840

Ressourcenübersicht Rack PC 840, Box PC 840 Ressourcenübersicht Rack PC 840, Box PC 840 RESOURCE_Rack840 Seite 1 von 5 Belegung der I/O-Adressen: IO-Adresse Größe Bedeutung (hex) von bis byte Grundfunktion mögliche alternative Funktion 0000 000F

Mehr

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Foliensatz Center for Information Services and High Performance Computing (ZIH) Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Hochgeschwindigkeitskommunikationen 13. Juli

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Übung "Struktur von Mikrorechnern" (CBS)

Übung Struktur von Mikrorechnern (CBS) 6 Funktion und Anwendung von Timern 6.1 Allgemeiner Überblick 6.2 Vorteiler 6.3 Betriebsmodi 6.3.1 Normalisierte CPU-Zeit 6.3.2 Normalisierter Zeit-Ausgang 6.3.3 Puls-Weiten-Modulations-Ausgang (PWM) 6.3.4

Mehr

Hardware-Grundlagen Mainboard und CPU Der Aufbau einer CPU Das RAM

Hardware-Grundlagen Mainboard und CPU Der Aufbau einer CPU Das RAM Hardware-Grundlagen Mainboard und CPU Die Hauptplatine (Motherboard/Mainboard) Einbaugrößen von Motherboards Konventionelles Motherboard Mainboard mit zusätzlichen Komponenten Slot-CPU Multiprozessor-Board

Mehr

Teil 2: Rechnerorganisation

Teil 2: Rechnerorganisation Teil 2: Rechnerorganisation Inhalt: Zahlendarstellungen Rechnerarithmetik schrittweiser Entwurf eines hypothetischen Prozessors mit Daten-, Adreß- und Kontrollpfad Speicherorganisation Mikroprogrammierung

Mehr

Hardware-Komponenten. DI (FH) Levent Öztürk

Hardware-Komponenten. DI (FH) Levent Öztürk Hardware-Komponenten DI (FH) Levent Öztürk Motherboard/ Hauptplatine Die Hauptplatine ist die zentrale Platine eines Computers. Auf ihr sind die einzelnen Bauteile wie Hauptprozessor (CPU), Speicher, der

Mehr

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus 4.2 Caches é Cache kommt aus dem Französischen: cacher (verstecken). é Er kann durch ein Anwendungsprogramm nicht explizit adressiert werden. é Er ist software-transparent, d.h. der Benutzer braucht nichts

Mehr

EX PCI & 2 PCI-E

EX PCI & 2 PCI-E Bedienungsanleitung EX-1025 2 PCI & 2 PCI-E Box Zur Erweiterung von 2 PCI & 2 PCI-Express Anschlüsse V1.2 12.12.13 EX-1025 2 PCI & 2 PCI-E Slot Erweiterung Inhaltsverzeichnis 1. BESCHREIBUNG 3 2. LAYOUT

Mehr

Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen

Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen Inhalt Teil 8 (PCI-Bus) aus 5. Busse und Systemstrukturen 1 5.6 Der PCI-Local-Bus 5.6 Der PCI-Local-Bus (Peripheral Component Interconnect Bus) 2 Bridge Prozessor Cache Speicher Memory-Controller PCI-Bus-Controller

Mehr

4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen

4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen 4 Der Von-Neumann-Rechner als Grundkonzept für Rechnerstrukturen Ein Rechner besteht aus den folgenden Bestandteilen: Rechenwerk Rechenoperationen wie z.b. Addition, Multiplikation logische Verknüpfungen

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology Computer: PC Informationstechnik für Luft-und Raumfahrt Ab Morgen nur eingebete Systeme Aber es gibt auch PCs Na gut... dann Heute. dann haben wir es hinter uns Und nicht wenige! PCs in N Jahren Industrie

Mehr

Angewandte Informatik

Angewandte Informatik Angewandte Informatik Teil 2.1 Was ist Hardware? Die Zentraleinheit! 1 von 24 Inhaltsverzeichnis 3... Was ist Hardware? 4... Teile des Computers 5... Zentraleinheit 6... Die Zentraleinheit 7... Netzteil

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Teil 6: PIC Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet

Teil 6: PIC Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet -Berlin Teil 6: PIC 8259 Studiengang Technische Informatik (TI) Prof Dr-Ing Alfred Rożek nur für Lehrzwecke Vervielfältigung nicht gestattet EMC45: Interrupt 4112002 Folie: 1 Prof Dr-Ing Alfred Rozek Berlin

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

USB Core. Dokumentation. Version 1.0

USB Core. Dokumentation. Version 1.0 USB Core Dokumentation Version 1.0 Usb Core Dokumentation Version 1.0 Seite 2 von 9 Inhalt Inhalt... 2 Änderungen... 2 Allgemeines... 3 LibUsb... 3 Kurze Beschreibung der Signale... 4 Detaillierte Beschreibung

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART

Mikrocomputertechnik. Thema: Serielle Schnittstelle / UART Mikrocomputertechnik Thema: Serielle Schnittstelle / UART Parallele vs. serielle Datenübertragung Parallele Datenübertragung Mehrere Bits eines Datums werden zeitgleich mittels mehrerer Datenleitungen

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS2010/2011 Aufgabe 2 - Erweiterung um

Mehr

SMP Übung 8 - Lösungsvorschlag

SMP Übung 8 - Lösungsvorschlag 1. Aufgabe: A/D-Wandlermethode auswählen Eine analoge Eingangsgröße, die Temperatur, soll in dieser Aufgabe in ein digitales Ausgangssignal umgewandelt werden. Aus Rechnertechnologie 2 sind folgende Methoden

Mehr

Ein-/Ausgabe-Systeme

Ein-/Ausgabe-Systeme Ein-/Ausgabe-Systeme Prof. Dr. Margarita Esponda WS 2011/2012 Ein-/Ausgabe-Systeme Ein-/Ausgabe-Hardware Schnittstelle für Ein-/Ausgabegeräte Kernel-Subsystem für Ein-/Ausgabeoperationen Verwandlung von

Mehr

Computer-Systeme. Teil 6: Motherboard

Computer-Systeme. Teil 6: Motherboard Computer-Systeme Teil 6: Motherboard Verbesserte Version Computer-Systeme WS 12/13 - Teil 6/Motherboard 15.11.2012 1 Literatur [6-1] [6-2] Engelmann, Lutz (Hrsg.): Abitur Informatik Basiswissen Schule.

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr