Soft Core Prozessor Nios II. Konfiguration, Einbettung und Programmierung

Größe: px
Ab Seite anzeigen:

Download "Soft Core Prozessor Nios II. Konfiguration, Einbettung und Programmierung"

Transkript

1 FACHHOCHSCHULE KÖLN FAKULTÄT FÜR INFORMATIONS-, MEDIEN UND ELEKTROTECHNIK REGELUNGSTECHNIK PROF DR J O KRAH Gruppe: DSF Praktikum Thema des Versuchs : Soft Core Prozessor Nios II Konfiguration, Einbettung und Programmierung Versuch 3 Name: Fachsemester: Vorname: Versuchsdatum: Matr Nr: Testat: Einleitung: In diesem Versuch soll auf einem FPGA ein einfaches SOPC (System on a Programmable Chip) System erstellt werden Hardwarebasis ist das Stratix I DSP Development-System von Altera Das FPGA verfügt über Logikelemente und kann auf zwei 12-Bit AD-Wandler und zwei 14-Bit DA-Wandler zugreifen Die Abtastrate beträgt bis zu 80 MHz (Systemclock) Bei Verwendung des Soft Core µcontrollers Nios II werden diese hohen Abtastraten nicht erreicht Hier soll der Soft-Core Prozessor Nios II lediglich den ADC auslesen und das Signal unverarbeitet über den DAC wieder ausgeben Benutzte Tools: Quartus II Version 111sp1 (32 Bit) Nios II Integrated Development Environment (IDE) Version 111sp1 Download: wwwalteracom Hinweis: In der Download-Version (Web-Edition) können Stratix-Bausteine nur eingeschränkt kompiliert werden Wenn zum Testen alternativ ein Cyclone-Baustein ausgewählt wird, ist das Kompilieren auch ohne die kostenpflichtige Lizenz möglich Bitte achten Sie auf die Behandlung des Vorzeichens von ADC bzw DAC DSF V3 WS 12/13 Krah 1

2 1 Quartus II starten FPGA Konfigurationen werden in der integrierten Entwicklungsumgebung Quartus II von Altera erstellt (siehe Skript) Im Labor verwenden wir eine Version mit Lizenz, um auch die Stratix Bausteine programmieren zu können Quartus II 111sp1 wird durch einen Doppelklick auf das Symbol gestartet Um den New Project Wizard zu starten, klicken Sie bitte auf das Feld Create a New Project Auf der ersten Seite ist das Working Directory anzugeben: D:\DSF\Krah Als Projektname (und top-level design entity) geben Sie bitte Prakt3 an Auf der Seite 2 sind keine Eingaben erforderlich, also bitte Next wählen DSF V3 WS 12/13 Krah 2

3 Auf der Seite 3 wird der zu programmierende FPGA Type angegeben: Im Praktikumsaufbau wird ein FPGA aus der Stratix Serie verwendet: EP1S25F780C5 1S: Stratix 1 25: Logik Elemente 780: FBGA mit 780 Pin s C: Commercial temperature range (0 70 ) 5: Speed Grade Da auf den Seiten 4 und 5 keine Eingaben erforderlich sind, kann nach der Auswahl des FPGA- Typs sofort Finish gewählt werden DSF V3 WS 12/13 Krah 3

4 Als Top-Level ist eine schematische Eingabe mit Block-Diagrammen vorgesehen Dazu wählen Sie bitte unter File / New den Typ Block Diagram/Schematic File an New File Die Leere Datei mit Save As speichern Der Name sollte dem im Projektwizard Top Level Entity angegebenen (Prakt3) entsprechen DSF V3 WS 12/13 Krah 4

5 Um Quartus II das geforderte Timing mitzuteilen, muss das Synopsis Design Constraints File DSFsdc in den Projektordner kopiert und dem System bekannt gemacht werden (Vom Desktop oder aus dem DSF - Web) Assigments Settings Files (all Files anwählen) Die in der Datei genutzten und im Weiteren beschriebenen Signalnamen (zb clk ) sollten unverändert übernommen werden, damit das Timing Quartus bekannt ist DSF V3 WS 12/13 Krah 5

6 2 Konfiguration des Soft Core Prozessors Nios II mit dem SOPC Builder: Tools Qsys Rechtsklick auf clk_0 unter Name Rename in clk clk unter Clock Settings auf 80 MHz ändern Library Embedded Processors Nios II Processor Doppelklick auf Nios II Processor Nios II / s auswählen - cpu_reset (noch) nicht anwählen Auf den nächsten Karten: - 4 kbyte Instruction Cache bestätigen - Keine Advanced Features anwählen - Keine MMU etc anwählen - Debug level 1 anwählen - keine Custom Instructions Finish anwählen: cpu erscheint unter Name DSF V3 WS 12/13 Krah 6

7 Klappen Sie im linken Fenster das Memories and Memory Controllers -Menü auf Das Menü On-Chip aufklappen Doppelklick auf On-Chip-Memory - Memory Type RAM - Block Type Automatic - Memory Width 32 bits - Total Memory Size Bytes (64k) - Read Latency 1 Finish Rechtsklick auf onchip_memory2_0 - Rename in memory Im linken Fenster das Peripherals - und anschließend das Microcontroller Peripherals - Menü aufklappen - Doppelklick auf PIO - Width 12 bits - Direction: Input - Input options; Synchronous capture; Rising Edge (D-Latch Register im I/O-Block) - Finish - Rename pio_0 in ADC - Doppelklick auf PIO - Width 14 bits - Direction: Output - Finish - Rename pio_0 in DAC Im linken Fenster das Interface Protocols - und anschließend das Serial - Menü aufklappen - Doppelklick auf JTAG UART - Finish DSF V3 WS 12/13 Krah 7

8 Verbinden Sie die einzelnen Komponenten wie im folgenden Bild: Die schon ausgewählte Nios-CPU erneut anklicken und den Reset Vector (Systemreset) und Exception Vector (Interupts) mit Adressen im memorys1 belegen Finish Da Adressen doppelt belegt oder bereits reserviert sein können, sollte man, um Fehlermeldungen zu vermeiden, unter System Assign Base Addresses anwählen Dadurch werden die Adressen neu vergeben und es gibt keine Adress-Überschneidungen mehr - File Save as CPU_prakt3 In Quartus II Assigments Settings Files (all Files anwählen) muss das Qsys System File CPU_prakt3qsys dem System bekannt gemacht werden DSF V3 WS 12/13 Krah 8

9 - Im Qsys den Reiter Generation anwählen - Simulation NICHT anwählen - Generate anwählen und ein bisschen gedulden - Wenn das Info Finished: Create HDL design files for synthesis erscheint, Close anwählen Im Block-Diagram-Fenster - Rechter Mausklick - Insert Symbol - Den Project -Ordner öffnen und CPU_prakt3 auswählen - Rechtsklick auf Symbol und Generate Pins for Symbol Ports anwählen - Insert Pin s und verbinden und die Namen anpassen (Wie im folgenden Bild) - Save (Diskettensymbol) - Start Compilation: (violettes Dreieck und ein bisschen gedulden) Assignments Device Device & Pin Options Unused Pins - As input tri-stated - OK Assignments -> Pin Planner (siehe folgende Tabelle) DSF V3 WS 12/13 Krah 9

10 In diesem Versuch werden nur die Pins des Eingangs1 und Ausgangs 1 verwendet Eingang1 und Ausgang1 Eingang2 und Ausgang2 Node Name Location Node Name Location ADC[11] ADC[10] ADC[9] ADC[8] ADC[7] ADC[6] ADC[5] ADC[4] ADC[3] ADC[2] ADC[1] ADC[0] PIN_B4 PIN_B3 PIN_C18 PIN_C19 PIN_C20 PIN_C21 PIN_C22 PIN_C23 PIN_C24 PIN_C25 PIN_D19 PIN_D20 DAC[13] DAC[12] DAC[11] DAC[10] DAC[9] DAC[8] DAC[7] DAC[6] DAC[5] DAC[4] DAC[3] DAC[2] DAC[1] DAC[0] DAC_clk reset_n PIN_A3 PIN_A4 PIN_A5 PIN_A6 PIN_A7 PIN_A8 PIN_A9 PIN_A10 PIN_A11 PIN_A13 PIN_A16 PIN_A18 PIN_A19 PIN_A20 PIN_AD15 PIN_F24 3 Quartus II compilieren - Start Compilation: (violettes Dreieck - und ein bisschen gedulden) - Mit dem Programmer die Konfiguration in das FPGA laden (Kästchen Program/Configure anwählen) und anschließend Start anwählen Quartus II Fenster minimieren DSF V3 WS 12/13 Krah 10

11 4 Nios II IDE starten (Programmierumgebung für die Soft-Core-µC Nios II) Workspace wechseln (damit das C-Projekt im VHDL-Projektarbeitsverzeichnis angelegt wird) File Switch Workspace D:\ DSF\Krah\software C-Projekt anlegen File New Nios II Application and BSP from Template (BSP - Board Support Package) Finish anwählen Project Build all anwählen DSF V3 WS 12/13 Krah 11

12 C-Projekt Compilieren und starten Rechtsklick auf Projektordner im linken Verzeichnisbaum Run As 3 NIOS II Hardware Nun wird das Projekt in den µc geladen und es erscheint: Hello from Nios auf den Bildschirm DSF V3 WS 12/13 Krah 12

13 Einbinden von µc-ports in das C-Projekt (12-Bit Eingangsport des ADCs und 14-Bit Ausgangsport des DACs) - Die Systemdatei systemh einbinden (Hier wird beim build aus der Systembeschreibung (*ptf) des im SOPC-Builder erstellten µcs generiert Deklaration und Beschreibung aller wichtigen Parameter des µc o Verzeichnisbaum CPU_prakt_2_bsp-Ordner systemh #define Adressen aus systemh für den ADC und den DAC benutzen short *padc = (void*)<adresse des ADC aus der systemh > ; short *pdac = (void*)<adresse des DAC aus der systemh > ; Schreiben Sie ein C- Programm, das den AD-Wandlereingang auf den DA-Wandlerausgang übergibt, so dass auf dem Skop das Referenzsignal des Funktionsgenerators identisch mit dem Ausgangssignal des Stratix-Boards ist Bitte beachten Sie, dass der ADC -10V auf 0x000 und +10V auf 0xfff abbildet Der DAC erwartet das Signal als signed 14 Bit in der Zweierkomplementdarstellung Anhang C-Programm Schleifen Abfrage Operatoren while(1){ Programmcode } if (Ausdruck = = Wert) { } else { } = = >= <= > < = (Zuweisungsoperator) && UND logisch ODER logisch & UND Bitweise ODER Bitweise ^ exklusiv oder (xor) Bitweise << Bitweise Linksverschiebung >> Bitweise Rechtsverschiebung Bsp: Ausdruck3 = Ausdruck1 & Ausdruck2 Wert = 1101 & 0001 = 0001 DSF V3 WS 12/13 Krah 13

Kurzanleitung Eclipse

Kurzanleitung Eclipse Autor: Prof. Dr. Bernhard Humm, FB Informatik, FH Darmstadt Datum: 30. November 2005 1 Starten Für das Praktikum verwenden wir Eclipse Version 3.1 und JDK 1.5 Starten Eclipse: Doppelklick auf das Icon

Mehr

Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms

Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms Erstellen eines RS-Flip-Flops mit Hilfe eines Blockdiagramms von Jörn Schneider 1. Schritt Zuerst wird mit dem Projekt-Wizard ein neues Projekt erstellt. Vor dem Starten sollte unbedingt ein leeren Ordner

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit

Mehr

µversion 2 Einführung

µversion 2 Einführung µversion 2 Einführung V1.0 F. Wolf Graz, September 2002 Inhaltsverzeichnis 1 Keil Mikroprozessor-Entwicklungsumgebung 1 2 Menübeschreibung 1 2.1 Hauptmenü 2 2.2 Compilermenü 2 2.3 Debugermenü 2 3 Projekt

Mehr

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Die Programmierung eines FPGAs mit der Quartus-Software ist für den Anfänger erst mal nicht so einfach zu bewerkstelligen. Mit dieser

Mehr

Code Composer Studio 4.x

Code Composer Studio 4.x Code Composer Studio 4.x Hands-on Session Sebastian Meisner, sebastian.meisner@upb.de Fachgebiet Technische Informatik 1 Inhalt der Hands-on Sesion Präsentation Code Composer Studio (CCS) Aufgaben 2 Einleitung

Mehr

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software Fakultät für Physik Prof. Dr. H. Gemmeke A. Schmidt, J. Erfle, M. Frey, Dr. F. Hartmann 14. Dezember 2007 Einführung in die Quartus II Software und die Altera-Boards Inhaltsverzeichnis 1.1 Installation

Mehr

Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch

Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch Die wichtigsten Unterlagen/Tools Für das Praktikum Unterlagen/Kenntnisse/Tools wichtig: Datenblatt des AT80USB1287 µc Schaltplan des im Praktikum verwendeten

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

(1)Einführung in Eclipse

(1)Einführung in Eclipse Auf diesem ersten Arbeitsblatt werdet ihr die Programmierumgebung Eclipse kennenlernen, die eure Oberfläche zum Bearbeiten des Android-Projekts Schiffe versenken sein wird. Dabei macht ihr euch einen Überblick

Mehr

ANWENDUNGSLEITFADEN. Übersicht. Hardware-Setup. Diffusion non restreinte Siemens Füllstand und Wägetechnik

ANWENDUNGSLEITFADEN. Übersicht. Hardware-Setup. Diffusion non restreinte Siemens Füllstand und Wägetechnik AG090115 Konfiguration von SIMATIC STEP7 V5.5 zum Auslesen zyklischer Gegenstand: Einsatz der SIMATIC S7300 SPS zum Auslesen von Daten vom MultiRanger/HydroRanger 200 HMI über das Kommunikationsmodul SmartLinx.

Mehr

(1)Einführung in Android Studio

(1)Einführung in Android Studio Auf diesem ersten Arbeitsblatt werdet ihr die Programmierumgebung Android Studio kennenlernen, die eure Oberfläche zum Bearbeiten des Android-Projekts Schiffe versenken sein wird. Dabei macht ihr euch

Mehr

WaveFormer Pro in Simulationsumgebungen mit ModelSim

WaveFormer Pro in Simulationsumgebungen mit ModelSim EDV BERATUNGS- UND HANDELSGESELLSCHAFT WEDELER LANDSTR. 93 22559 HAMBURG TEl.: (040) 18980520 FAX: (040) 811037 Http://www.databit.de WaveFormer Pro in Simulationsumgebungen mit ModelSim WaveFormer Pro

Mehr

Eclipse Tutorial.doc

Eclipse Tutorial.doc Berner Fachhochschule Hochschule für Technik und Informatik, HTI Fachbereich Elektro- und Kommunikationstechnik Labor für Technische Informatik Eclipse Tutorial 2005, HTI Burgdorf R. Weber Dateiname: Eclipse

Mehr

Mikrocontrollertechnik. F5 Erstes Programm. AVR Studio 4

Mikrocontrollertechnik. F5 Erstes Programm. AVR Studio 4 In diesem Kapitel wird erklärt wie man möglichst schnell mit dem Programm Studio 4 von Atmel und einem ISP Programmer einen ATmega Controller programmiert. Es ist kein umfassendes Tutorial zum Programm

Mehr

KR-mega32-16 rev. 2.3 Thomas Krause, Krause Robotik

KR-mega32-16 rev. 2.3 Thomas Krause, Krause Robotik Kurzanleitung zur Installation der Software zum Mikrokontrollerbord KR-mega32-16 rev. 2.3 Thomas Krause, Krause Robotik thomas.krause@krause-robotik.de Krause Robotik www.krause-robotik.de email: info@krause-robotik.de

Mehr

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Installationsanleitung

Installationsanleitung 1. C Installationsanleitung C-Programmierung mit Hilfe von Eclipse unter Windows XP mit dem GNU C-Compiler (GCC) 2. Inhaltsverzeichnis 1. Einleitung... 3 2. Cygwin... 3 2.1 Cygwin-Installation... 3 2.2

Mehr

EV3 JAVA-PROGRAMMIERUNG MIT LEJOS INSTALLATIONSANLEITUNG INHALT

EV3 JAVA-PROGRAMMIERUNG MIT LEJOS INSTALLATIONSANLEITUNG INHALT INHALT Dieses Tutorial beinhaltet alle Schritte die benötigt werden um EV3 mit Java programmieren zu können. Dabei wird nur auf die Installation der benötigten Komponenten eingegangen. EV3 JAVA-PROGRAMMIERUNG

Mehr

PG5 Starter Training PG5 Core und Webeditor 5 Daniel Ernst DE

PG5 Starter Training PG5 Core und Webeditor 5 Daniel Ernst DE PG5 Starter Training PG5 Core und Webeditor 5 Daniel Ernst DE02 2013-02-25 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ethernet Kabel Benötigte Software

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Dateien, die nicht in das Projekt eingebunden sind, werden ohne Syntax highlight dargestellt. MiCoWi und µvision Seite 1 Uwe Wittenfeld

Dateien, die nicht in das Projekt eingebunden sind, werden ohne Syntax highlight dargestellt. MiCoWi und µvision Seite 1 Uwe Wittenfeld C-Programmierung von MiCoWi mit der Keil-Entwicklungsumgebung µvision4 1. Erstellung eines neuen Projektes Menüpunkt: Project New µvision Project Es wird ein komplett neues Projekt in einem beliebigen

Mehr

Microcontroller in Embedded Systems

Microcontroller in Embedded Systems Berner Fachhochschule Technik und Informatik Fachbereich Elektro- und Kommunikationstechnik Labor für Technische Informatik Microcontroller in Embedded Systems Tutorial Code Composer Studio und LM3S9B92-Kit

Mehr

FPGA-Projektentwicklung mit Quartus

FPGA-Projektentwicklung mit Quartus FPGA-Projektentwicklung mit Quartus Von Paul Goossens Schritt für Schritt zum Ziel Das Einarbeiten in neue Software erfordert stets einige Zeit und Übung. In diesem Dokument geben wir Newcomern Hilfestellung

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

FAQ Kommunikation über PROFIBUS

FAQ Kommunikation über PROFIBUS FAQ Kommunikation über PROFIBUS Kommunikation über PROFIBUS FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie konfiguriere ich eine PC-Station als DP-Master zur Anbindung an einen DP-Slave

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Im Mathe-Pool startet man Eclipse am besten aus einer Shell heraus, und zwar indem man im Home- Verzeichnis den Befehl

Im Mathe-Pool startet man Eclipse am besten aus einer Shell heraus, und zwar indem man im Home- Verzeichnis den Befehl Eclipse Eclipse ist eine IDE (Integrierte Entwicklungsumgebung), die speziell auf das Programmieren in Java zugeschnitten (und auch selbst in Java geschrieben) ist. Eine solche IDE vereint die Funktionalität

Mehr

Installieren und Konfigurieren von Adobe Media Live Encoder für das Senden in HD

Installieren und Konfigurieren von Adobe Media Live Encoder für das Senden in HD Installieren und Konfigurieren von Adobe Media Live Encoder für das Senden in HD Adobe Media Live Encoder Download: Schritt 1 Gehe zu https://get.adobe.com/flashplayer/ Klicke auf den Download -Schalter

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3. Semester - WS 2002 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

6ES AG10-0AB0 A5E

6ES AG10-0AB0 A5E AG090215 Konfiguration des TIA Portals V13 SP1 zum Auslesen zyklischer Gegenstand: Einsatz zyklischer Kommunikation über ein Kommunikationsmodul SmartLinx, um Daten am MultiRanger/HydroRanger 200 HMI zu

Mehr

NetBeans Installation für Handy-Programmierung

NetBeans Installation für Handy-Programmierung Netbeans-Installation für Handy-Programmierung Seite 1 NetBeans Installation für Handy-Programmierung 1. Installation Die Netbeans-Installation setzt voraus, dass JDK 6 bereits auf dem Rechner installiert

Mehr

1. Schritt Download der Firmware und des Firmwaredownloaders von unser Website

1. Schritt Download der Firmware und des Firmwaredownloaders von unser Website Vor der Installation Bitte überprüfen Sie, ob Ihr Drucker über ein paralleles oder serielles Verbindungskabel mit dem PC verbunden ist, von welchem die Firmware auf den Drucker geladen werden soll. Ein

Mehr

/ Rev. 2 / SERIE P40 Zusatzbeschreibung: Quick Guide für FDT Basic-Tool und Backup-Tool

/ Rev. 2 / SERIE P40 Zusatzbeschreibung: Quick Guide für FDT Basic-Tool und Backup-Tool 799000679 / Rev. 2 / 08.11.2016 SERIE P40 Zusatzbeschreibung: Quick Guide für FDT Basic-Tool und Backup-Tool Herausgeber ELGO Electronic GmbH & Co. KG Carl-Benz-Straße1 DE-78239 Rielasingen Technischer

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

CLIQ Manager als Standard Benutzer starten

CLIQ Manager als Standard Benutzer starten CLIQ Manager als Standard Benutzer starten Bedingt durch die strikten Benutzerrechte in Windows 7 gibt es von Microsoft ein Programm (Tool) zu dem Handhaben der Benutzerrechte. Als eine Möglichkeit zum

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Schritt-für-Schritt-Anleitung für die Arbeit mit dem Debugger

Schritt-für-Schritt-Anleitung für die Arbeit mit dem Debugger Schritt-für-Schritt-Anleitung für die Arbeit mit dem Debugger Prof. G. Kemnitz, TU Clausthal, Institut für Informatik 22. April 2016 Zusammenfassung Ausgehend von dem Hard- und Software-Entwurf der Schritt-für-Schritt-Anleitung

Mehr

FPGA - aktuelle Bausteine und ihre Anwendungen von Altera

FPGA - aktuelle Bausteine und ihre Anwendungen von Altera FPGA - aktuelle Bausteine und ihre Anwendungen von Altera T E C H N I S C H E I N F O R M A T I K P R Ä S E N T A T I O N 1 8. 0 1. 2 0 1 8 B E R N H A R D S A N G M A T R I K E L N U M M E R : 6 4 0 3

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a

Mehr

Übungsblatt 1 (5 Punkte)

Übungsblatt 1 (5 Punkte) lea.schoenberger [ ] tu-dortmund.de benjamin.glaeser [ ] tu-dortmund.de niklas.ueter [ ] tu-dortmund.de mikail.yayla [ ] tu-dortmund.de Übung zur Vorlesung Eingebettete Systeme Wintersemester 17/18 Übungsblatt

Mehr

Open Source - Mikrokontroller für Mixed Signal ASIC

Open Source - Mikrokontroller für Mixed Signal ASIC Open Source - Mikrokontroller für Mixed Signal ASIC Embedded Computing Conference 30. August 2011 Michael Roth Ablauf Vorstellung IME Motivation Vorstellung einiger OpenSource Mikrokontroller Evaluation

Mehr

Erste Schritte. C/C++ mit Eclipse Kepler. für esom/3517, esom/9263, DNP/9200, DNP/9265, DNP/9535

Erste Schritte. C/C++ mit Eclipse Kepler. für esom/3517, esom/9263, DNP/9200, DNP/9265, DNP/9535 C/C++ mit Eclipse Kepler für esom/3517, esom/9263, DNP/9200, DNP/9265, DNP/9535 Erste Schritte SSV Embedded Systems Dünenweg 5 D-30419 Hannover Tel.: +49 (0)511/40 000-0 Fax: +49 (0)511/40 000-40 E-Mail:

Mehr

MOdularSAfetyIntegratedController

MOdularSAfetyIntegratedController PROGRAMMIERUNG MOdularSAfetyIntegratedController Mosaic ist ein modulares, konfigurierbares Sicherheitssystem fur den Schutz von Maschinen oder Anlagen. Sie ist dazu geeignet, mehrere Sicherheitssysteme

Mehr

Tutorial Vivado/Verilog Teil 1 Erste Schritte mit Verilog auf dem FPGA

Tutorial Vivado/Verilog Teil 1 Erste Schritte mit Verilog auf dem FPGA Tutorial Vivado/Verilog Teil 1 Erste Schritte mit Verilog auf dem FPGA Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Tutorials ist es, erste praktische Erfahrungen

Mehr

Serieller A/D-Wandler am 8051-Mikrocontroller

Serieller A/D-Wandler am 8051-Mikrocontroller Fachbereich Elektrotechnik und Informatik Labor für Angewandte Informatik Praktikum Mikroprozessortechnik und DSP (EMD) Prof.Dr.-Ing. W. Caninenberg Serieller A/D-Wandler am 8051-Mikrocontroller Name,

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Einführung in das Programmieren

Einführung in das Programmieren Einführung in das Programmieren HelloWorld, NetBeans, Tutle-Umgebung Torsten Thoben, 15. April 2015 Get your tools Um mit Java zu programmieren werden drei Werkzeuge benötigt. 1. Der Java Compiler. Übersetzt

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Die neue Diagnosesoftware und Map`s können unter folgenden Link runtergeladen werden:

Die neue Diagnosesoftware und Map`s können unter folgenden Link runtergeladen werden: Traunreut, 07.06.2016 Rundschreiben Technik SWM RS 02 / 201 016 Info Typ Update Betreff Diagnoseupdate und neue Map`s Modell SWM alle Modelle Baujahr 2016 Sehr geehrter SWM Vertragshändler, anbei möchten

Mehr

Technische Informatik für Ingenieure Winter 2005/2006 Übungsblatt Nr. 3

Technische Informatik für Ingenieure Winter 2005/2006 Übungsblatt Nr. 3 Technische Informatik für Ingenieure Winter 2005/2006 Übungsblatt Nr. 3 Dr. Ekkart Kindler FG Softwaretechnik Institut für Informatik Übungsgruppenleiter: M.-R. Brojerdi H. Selke M. Meyer M.Tichy E. Münch

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

C++ mit dem Arduino (Uno und Mega2560)

C++ mit dem Arduino (Uno und Mega2560) C++ mit dem Arduino (Uno und Mega2560) 1. Toolchain Als toolchain bezeichnet man die Reihe von Software, die nötig ist um den Prozessor zu programmieren, das Ergebnis draufzuladen und dann zu testen. Hier

Mehr

PG5 Starter Training PG5 Core und Fupla Daniel Ernst DE

PG5 Starter Training PG5 Core und Fupla Daniel Ernst DE PG5 Starter Training PG5 Core und Fupla Daniel Ernst DE02 2013-02-25 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ziele des PG5 Core Einführungskurses

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Arbeiten mit der Software STEP 7 und den Laborarbeitsplätzen SIMATIC S7-313C

Arbeiten mit der Software STEP 7 und den Laborarbeitsplätzen SIMATIC S7-313C Arbeiten mit der Software STEP 7 und den Laborarbeitsplätzen SIMATIC S7-313C Übersicht Aufbau und Bedienung der S7-313C STEP 7 mit SIMATIC Manager Anlegen eines Projektes Variante mit Assistent Variante

Mehr

Zugriff auf die Variablen eines Panels über eine Excel Anwendung. WinCC Comfort/Advanced V14 / Web-Dienst SOAP. Siemens Industry Online Support

Zugriff auf die Variablen eines Panels über eine Excel Anwendung. WinCC Comfort/Advanced V14 / Web-Dienst SOAP. Siemens Industry Online Support Zugriff auf die Variablen eines Panels über eine Excel Anwendung WinCC Comfort/Advanced V14 / Web-Dienst https://support.industry.siemens.com/cs/ww/de/view/69846238 Siemens Industry Online Support Siemens

Mehr

FAQ Kommunikation über IE

FAQ Kommunikation über IE FAQ Kommunikation über Industrial Ethernet FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie konfiguriere ich eine PC-Station als PROFINET IO Controller zur Anbindung an eine S7-Station (als

Mehr

Bedienmodule OM 2032 und OM 2008

Bedienmodule OM 2032 und OM 2008 Bedienmodule OM 2032 und OM 2008 S7 Funktionsbausteine für TIA Portal Anwender-Dokumentation Version 2.00 Stand: 04.04.2018 Technische Änderungen vorbehalten Dok. Nr.: AD-OM2032-OM2008-FC400-FB401-DE-V2-00-04-2018

Mehr

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli)

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli) Digital imulator Kapitelverzeichnis (uch Künzli) Für die Übungs Projekte nächstes bitte das nächste Mal den LapTop mitbringen und vorher den Digital imulator vom Netz laden: http://www.digital-simulator.de/.

Mehr

Configurable Embedded Systems

Configurable Embedded Systems Configurable Embedded Systems Prof. Dr. Sven-Hendrik Voß Wintersemester 2017 Technische Informatik (Master), Semester 2 Termin 3, 23.10.2017 Seite 2 Zynq Design Flow Configurable Embedded Systems Wintersemester

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Wer möchte, kann sein Programm auch auf echter Hardware testen

Wer möchte, kann sein Programm auch auf echter Hardware testen U4 3. Übungsaufgabe U4 3. Übungsaufgabe Grundlegendes zur Übung mit dem AVR-μC Register I/O-Ports AVR-Umgebung Peripherie U4.1 U4-1 Grundlegendes zur Übung mit dem AVR-mC U4-1 Grundlegendes zur Übung mit

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

CM Z-Finanzberichte nur mit SD Karte möglich! Download der Aktuellen PC-Software unter:

CM Z-Finanzberichte nur mit SD Karte möglich! Download der Aktuellen PC-Software unter: O L Y MPIA CM 911-912 Anleitung PC-Software (Download) Anleitung GoBD Schnittstelle Z-Finanzberichte nur mit SD Karte möglich! Download der Aktuellen PC-Software unter: Auf Grund ständiger Software-Aktualisierungen

Mehr

Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter

Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter 1 Einleitung Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter quality@computerchess.com Für den ChessGenius Exclusive

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Programmieren von Java Smartcards

Programmieren von Java Smartcards Programmieren von Java Smartcards Aufgabe 0: Zur Einführung in die Programmierung von Giesecke und Devrient Java Smartcards soll ein neues Kartenapplet erstellt, im Simulator getestet sowie anschließend

Mehr

Service & Support. Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP.

Service & Support. Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP. Deckblatt Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP FAQ August 2011 Service & Support Answers for industry. Fragestellung Dieser

Mehr

Free MC Boot per PS1 Exploit installieren

Free MC Boot per PS1 Exploit installieren Free MC Boot per PS1 Exploit installieren Geschrieben von: am 23.11.2012 fürs PS3-Tools Forum 1.) Benötigte Hard & Software: PS2 Fat Konsole bis V10 / nicht für PS2 Slim geeignet!!! 8 MB Memory Card -

Mehr

C A L D E R A G R A P H I C S

C A L D E R A G R A P H I C S C A L D E R A G R A P H I C S Wie geht das? Drucken zu Caldera von Clients aus dem Netzwerk Caldera Graphics 2008 Caldera Graphics und alle Caldera Graphics Produkte, die in dieser Publikation genannt

Mehr

FAQ Kommunikation über PROFIBUS

FAQ Kommunikation über PROFIBUS FAQ Kommunikation über PROFIBUS S7-Kommunikation über PROFIBUS FAQ Inhaltsverzeichnis Inhaltsverzeichnis... 2 Frage... 2 Wie projektieren Sie eine S7-Verbindung für den SIMATIC NET OPC- Server über PROFIBUS

Mehr

Systementwurf mit Excalibur

Systementwurf mit Excalibur Handout zum Referat von Jan Suhr am 14.07.2001 im Seminar Mikroprozessoren von Norman Hendrich zum Thema: Systementwurf mit Excalibur Im Vergleich zu festverdrahteten Bausteinen wie ASIC's oder ASSP's

Mehr

RRZK Universität zu Köln. Installation und Konfiguration der Spectrum Protect (TSM) Client-Software unter dem Betriebssystem MacOSX

RRZK Universität zu Köln. Installation und Konfiguration der Spectrum Protect (TSM) Client-Software unter dem Betriebssystem MacOSX RRZK Universität zu Köln Installation und Konfiguration der Spectrum Protect (TSM) Client-Software unter dem Betriebssystem MacOSX Inhaltsverzeichnis 1. INSTALLATION DES SPECTRUM PROTECT-CLIENTEN 3 2.

Mehr

Einführung in Altera Quartus II 11.0

Einführung in Altera Quartus II 11.0 Einführung in Altera Quartus II 11.0 Version 0.1 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum

Mehr

Zebra-Firmwareupdate

Zebra-Firmwareupdate Vor der Installation Bitte überprüfen Sie, ob Ihr Drucker über ein paralleles, serielles oder Netzwerk-Verbindungskabel mit dem PC verbunden ist, von welchem die Firmware auf den Drucker geladen werden

Mehr

Installationsanleitung Homeoffice Schritt 1: Download der Software

Installationsanleitung Homeoffice Schritt 1: Download der Software Installationsanleitung Homeoffice Schritt 1: Download der Software Alles was an Software benötigt wird finden Sie unter http://software.icare-ag.com Indem Sie auf die Dateien mit der rechten Maustaste

Mehr

Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter

Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter 1 Einleitung Wir arbeiten ständig daran, unseren ChessGenius Exclusive noch besser zu machen. Deswegen freuen wir uns immer über Ihr Feedback unter quality@computerchess.com Für den ChessGenius Exclusive

Mehr

Tutorial Vivado/VHDL Teil 1 Erste Schritte mit VHDL auf dem FPGA

Tutorial Vivado/VHDL Teil 1 Erste Schritte mit VHDL auf dem FPGA Tutorial Vivado/VHDL Teil 1 Erste Schritte mit VHDL auf dem FPGA Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Tutorials ist es, erste praktische Erfahrungen im

Mehr

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die 1 Einführung Keil uvision Bevor Sie Keil uvision starten, erstellen Sie sich einen Ordner im Verzeichnis C:/Keil/ wo Sie Ihre Projekte später ablegen. In diesem Ordner kopieren Sie die Datei 0_template.a51.

Mehr

Programmieren des ESP8266 mit OLED

Programmieren des ESP8266 mit OLED Willkommen! Und herzlichen Dank für den Kauf unseres AZ-Delivery ESP8266 Mikrocontroller mit integrierten 0.91" OLED Display. Auf den folgenden Seiten gehen wir mit dir gemeinsam die ersten Programmierschritte

Mehr

Installation und Betrieb des Virtual Serial Port Emulators (VSPE) Mai 2012

Installation und Betrieb des Virtual Serial Port Emulators (VSPE) Mai 2012 Installation und Betrieb des Virtual Serial Port Emulators (VSPE) Mai 2012 GPRS/UMTS/LAN/WAN TCP/IP Connection 1 von 10 Inhaltsverzeichnis Installation und Betrieb des Virtual Serial Port Emulators (VSPE)

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

FreeQDA. Eine freie Software zur Analyse qualitativer Forschungsdaten. Anleitung. von Jörg große Schlarmann. und Dirk Kitscha

FreeQDA. Eine freie Software zur Analyse qualitativer Forschungsdaten. Anleitung. von Jörg große Schlarmann. und Dirk Kitscha FreeQDA Eine freie Software zur Analyse qualitativer Forschungsdaten Anleitung von Jörg große Schlarmann und Dirk Kitscha Version vom 1. April 2011 Über FreeQDA FreeQDA ist ein freies open-source Softwareprojekt

Mehr

Dieses Tutorial ist für absolute Einsteiger gedacht und zeigt die Installation der notwendigen Software und aller Einstellungen von Anfang an.

Dieses Tutorial ist für absolute Einsteiger gedacht und zeigt die Installation der notwendigen Software und aller Einstellungen von Anfang an. UCB33 for Beginners In diesem kleinen Tutorial zeigen wir Ihnen, wie Sie das Board UCB33 von ham73.de mittels des kostenlosen Atmel Studios und des Programmers Diamex AVR, Rev.2 programmieren. Dieses Tutorial

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Installationsanleitung

Installationsanleitung Installationsanleitung WirelessServer Software Release Datum: 02.11.2016 M-000010.0 v1.0.0 Inhaltsverzeichnis 1. Einleitung... 2 1.1. WirelessServer Software (Kurzbeschreibung)... 2 2. Systemanforderungen...

Mehr