Schülerseminar Programmieren einer Ampelsteuerung

Größe: px
Ab Seite anzeigen:

Download "Schülerseminar Programmieren einer Ampelsteuerung"

Transkript

1 Prof. G. Kemnitz Institut für Informatik 23. April /17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016

2 Prof. G. Kemnitz Institut für Informatik 23. April /17 Hardware-Programmierung IO PLB PLB IO IO PLB PLB IO programmierbares Verbindungsnetzwerk programmierbare Eingabe-Ausgabe-Schaltung PLB programmierbarer Logikblock

3 Prof. G. Kemnitz Institut für Informatik 23. April /17 Man kann heute einen kompletten Rechner in wenigen Minuten in einen programmierbaren Schaltkreis auf einer Baugruppe laden. In den Schaltkreis passt eine Schaltung mit Millionen von Schaltelementen. Die Schalter, Leuchtdioden etc. auf der Baugruppe dienen zum Testen der Schaltungen

4 Prof. G. Kemnitz Institut für Informatik 23. April /17 Einloggen und Foliensatz önen Unter Windows anmelden. Unter önen. Documents Schuelersem Schuelerseminar.pdf PDF-Viewer auf den rechten Bildschirm schieben.

5 Prof. G. Kemnitz Institut für Informatik 23. April /17 Experiment 1: Logikrechner Schalter programmierbarer Schaltkreis Leuchtdioden SW0 SW1 SW2 SW3 SW4 SW5 SW6 SW7 T10 T9 V9 M8 N8 U8 V8 T5 & & 1 =1 U16 V16 U15 V15 LD0 LD1 LD2 LD3 Schnittstellenbeschreibung entity Logikrechner is port(sw0, SW1,..., SW7: in std_logic; LD0, LD1, LD2, LD3: out std_logic); end Logikrechner;

6 SW0 SW1 SW2 SW3 SW4 SW5 SW6 SW7 T10 T9 V9 M8 N8 U8 V8 T5 & & 1 =1 U16 V16 U15 V15 LD0 LD1 LD2 LD3 Beschreibung der Funktion architecture Behavioral of Logikrechner is begin LD0 <= SW0 and SW1; LD1 <= SW2 nand SW3; LD2 <= SW4 or SW5; LD3 <= SW6 xor SW7; end Behavioral; rof. G. Kemnitz Institut für Informatik 23. April /17

7 Prof. G. Kemnitz Institut für Informatik 23. April /17 Erzeugung der Kongurationsdatei Xilinx ISE önen: Start All Programs Xilinx Design Tools ISE Design Suite 14.6 ISE Design Tools 64-bit-Project Navigator Fenster Tip of the Day schlieÿen. (Project Navigator) File Open Project Documents Schuelersem Logikrechner Logikrechner.xise. (Hierarchy-Fenster).../Logikrechner.vhd auswählen. Mit Doppelklick önen (Schaltungsbeschreibung). (Hierarchy-Fenster) Logikrechner-Behavioral aufklappen,.../logikrechner.ucf auswählen. Mit Doppelklick önen. (Hierarchy-Fenster).../Logikrechner.vhd auswählen. (Processes) mit Doppelklick auf Generate Programming File (Synthese, Platzierung, Verdrahtung,...).

8 Prof. G. Kemnitz Institut für Informatik 23. April /17 Programmieren und Ausprobieren Congure Target Device... aufklappen. (Processes) Doppelklick auf Manage Conguration Project (impact). (impact) Doppelklick auf Boundary Scan. (Boundary Scan) Rechtsklick auf Initialize Chain. Auto Assign... Yes. für xc6slxlx16 logikrechner.bit im Verzeichnis Logikrechner auswählen. Open. Attache SPI... No. Nächstes Fenster OK. Rechtsklick auf den Chip xc6slxlx16 Program. Ausprobieren. Schaltung ändern, z.b.: LD0 <= (SW0 and not SW1) or (not SW7 and SW6);

9 Experiment 2: Taktteiler Teilen des 100MHz-Eingabetakts durch 2 32 Ausgabe der höchstwertigen Zählerstellen auf Leuchtdioden GCLK0 V10 +1 Z U16 V16 U15 V15 M11 N11 R11 T11 LD0 LD1 LD2 LD3 LD4 LD5 LD6 LD7 100/ Hz; 100/ Hz;... Prof. G. Kemnitz Institut für Informatik 23. April /17

10 Prof. G. Kemnitz Institut für Informatik 23. April /17 Takteingang GCLK0 V10 programmierter Schaltkreis +1 Z U16 V16 U15 V15 M11 N11 R11 T11 Leuchtdioden LD0 LD1 LD2 LD3 LD4 LD5 LD6 LD7 GCLK0 z 0 z 1 z 2 z

11 Prof. G. Kemnitz Institut für Informatik 23. April /17 entity Taktteiler is port(gclk0: in std_logic; LD0, LD1,..., LD7: out std_logic); end Taktteiler; architecture Behavioral of Taktteiler is signal z: std_logic_vector(32 downto 0); begin process(gclk0) begin if rising_edge(gclk0) then z <= z + 1; end if; end process; LD0 <= z(24); LD1 <= z(25);... LD7 <= z(31); end Behavioral; V10 GCLK0 +1 Z U16 V16 U15 V15 M11 N11 R11 T11 LD0 LD1 LD2 LD3 LD4 LD5 LD6 LD7

12 Prof. G. Kemnitz Institut für Informatik 23. April /17 Erzeugung der Kongurationsdatei (Projektnavigator) File Open Project Ebene zurück Taktteiler Taktteiler.xise. (Hierarchy-Fenster).../Taktteiler.vhd auswählen; mit Doppelklick önen (Schaltungsbeschreibung). (Hierarchy-Fenster) Taktteiler-Behavioral aufklappen,.../taktteiler.ucf auswählen. Mit Doppelklick önen. (Hierarchy-Fenster).../Taktteiler.vhd auswählen; (Processes) mit Doppelklick auf Generate Programming File (Synthese, Platzierung, Verdrahtung, Kongurationsdaten erzeugen)

13 Prof. G. Kemnitz Institut für Informatik 23. April /17 Programmieren und Ausprobieren Rechtsklick auf den Chip xc6slx16; Assign New Conguration File. taktteiler.bit im Verzeichnis Taktteiler auswählen; Open. Rechtsklick auf den Chip xc6slx16 Program. Ausprobieren. Schaltung ändern, z.b. höherfrequente Takte (niederwertigere) Zählerbits ausgeben oder Anschlusszuordnung in der ucf-datei ändern.

14 Prof. G. Kemnitz Institut für Informatik 23. April /17 Experiment 3: Ampelsteuerung Taktgenerator Zähler 25 Ausgabetabelle U16 Leuchtdioden LD0 GCLK0 V10 +1 Z 32 z( ) Autos Fussg gelb rot 0001 gelb rot grün grün grün... rot rot rot... V15 M11 N11 R11 T11 LD3 LD4 LD5 LD6 LD7 process(gclk0) begin if rising_edge(gclk0) then z <= z + '1'; -- Zaehler LD0 <= z(25); -- Taktausgabe

15 25 U16 LD0 GCLK0 V10 +1 Z 32 z( ) Autos Fussg gelb rot 0001 gelb rot grün grün grün... rot rot rot... V15 M11 N11 R11 T11 LD3 LD4 LD5 LD6 LD7 case z(29 downto 26) is when "0000" "0001" => LD(7 downto 3) <= b"010_10"; --A:gelb, F:rot when "0010" "0011" => LD(7 downto 3) <= b"001_10"; --A:grün, F:rot -- ab hier selbst weiterentwickeln when others => LD(7 downto 3) <= b"100_10"; --A:rot, F:rot end case; end if; end process; Prof. G. Kemnitz Institut für Informatik 23. April /17

16 Prof. G. Kemnitz Institut für Informatik 23. April /17 Erzeugung der Kongurationsdatei (Project Navigator) File Open Project Ebene zurück Ampel Ampel.xise. (Hierarchy-Fenster).../Ampel.vhd auswählen. mit Doppelklick önen (Schaltungsbeschreibung). (Hierarchy-Fenster) Ampel-Behavioral aufklappen,.../ampel.ucf auswählen. Mit Doppelklick önen. (Hierarchy-Fenster).../Ampel.vhd auswählen; (Processes) mit Doppelklick auf Generate Programming File (Synthese, Platzierung, Verdrahtung, Kongurationsdaten erzeugen).

17 Prof. G. Kemnitz Institut für Informatik 23. April /17 Programmieren und Ausprobieren Rechtsklick auf den Chip xc6slx16; Assign New Conguration File ampel.bit im Verzeichnis Ampel auswählen; Open Rechtsklick auf den Chip xc6slx16 Program Ausprobieren Schaltung ändern, z.b. höherfrequente Takte (niederwertigere) Zählerbits ausgeben oder Anschlusszuordnung in der ucf-datei ändern Ausgang A:grün A:gelb A:rot F:grün F:rot Anschluss J7 H3 G1 L7 J6

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal23. März 2018 1/33 Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Entwurf digitaler Schaltungen (F1)

Entwurf digitaler Schaltungen (F1) rof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 2. April 25 /74 Entwurf digitaler Schaltungen (F) Einführung Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal

Mehr

Entwurf digitaler Schaltungen (F1)

Entwurf digitaler Schaltungen (F1) Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 3. Juni 24 /74 Entwurf digitaler Schaltungen (F) Einführung Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Anleitung für VHDL tools

Anleitung für VHDL tools Anleitung für VHDL tools Harald Affenzeller V 1.0.0 Email: Harald.Affenzeller@fh-hagenberg.at Hagenberg, 14. Oktober 2003 Zusammenfassung Dieses Dokument stellt eine Anleitung zur Verwendung von eingesetzten

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

BitRecords FPGA Modul XC6SLX9, April

BitRecords FPGA Modul XC6SLX9, April BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-TQGC) Nutzer-IOs LEDs, Taster On-Board 0MHz Oszillator Spannungsversorgung.V SPI

Mehr

Rechnerarchitektur, Einführung in die Laborübungen

Rechnerarchitektur, Einführung in die Laborübungen G. Kemnitz Institut für Informatik, TU Clausthal (RA-LabEinf.pdf) 20. Dezember 2017 1/18 Rechnerarchitektur, Einführung in die Laborübungen G. Kemnitz Institut für Informatik, TU Clausthal (RA-LabEinf.pdf)

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Entwurf digitaler Schaltungen Foliensatz 1: Einführung

Entwurf digitaler Schaltungen Foliensatz 1: Einführung G. Kemnitz Institut für Informatik, TU Clausthal (EDS_F1) 3. April 2017 1/71 Entwurf digitaler Schaltungen Foliensatz 1: Einführung G. Kemnitz Institut für Informatik, TU Clausthal (EDS_F1) 3. April 2017

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3. Semester - WS 2002 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v5.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 4. Semester - SS 2006 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Rechnerarchitektur, Einführung in die Laborübungen

Rechnerarchitektur, Einführung in die Laborübungen Rechnerarchitektur, Einführung in die Laborübungen G. Kemnitz 24. November 2016 Inbetriebnahme der Mikrorechnerbaudruppe 1. Anschluss 5V-Netzteil 2. Anschluss Programmer 3. Einschalter 4. Eingabeschalter

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Von SystemC zum FPGA in 7 Schritten Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Bremen. Mirko Kruse 21. November 2004 Inhalt 1 Einleitung...1 2 Benötigte Software...1

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Entwurf digitaler Schaltungen Foliensatz 1: Einführung

Entwurf digitaler Schaltungen Foliensatz 1: Einführung Entwurf digitaler Schaltungen Foliensatz : Einführung G. Kemnitz 3. April 27 Was ist Technische Informatik Zwei relativ selbständige Gebiete: die technischen Anwendungen der Informatik informationstechnische

Mehr

Entwurf digitaler Schaltungen Foliensatz 1: Einführung

Entwurf digitaler Schaltungen Foliensatz 1: Einführung Entwurf digitaler Schaltungen Foliensatz : Einführung G. Kemnitz 2. Dezember 26 Was ist Technische Informatik Zwei relativ selbständige Gebiete: die technischen Anwendungen der Informatik informationstechnische

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Digitaltechnik # Rechnerübung 1 Grundvorlesung, SS 2005 Departement Informatik Prof. Daniel Kröning Revision: 1.

Digitaltechnik # Rechnerübung 1 Grundvorlesung, SS 2005 Departement Informatik Prof. Daniel Kröning Revision: 1. Digitaltechnik #252-0014-00 Rechnerübung 1 Grundvorlesung, SS 2005 Institut für Computersysteme Departement Informatik Prof. Daniel Kröning Revision: 1.29 ETH Zürich Step-by-step Tutorial für FPGA-Board

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Aufgabe 7: Serielle Schnittstelle (RS232)

Aufgabe 7: Serielle Schnittstelle (RS232) Aufgabe 7: Serielle Schnittstelle (RS232) G. Kemnitz, C. Giesemann, TU Clausthal, Institut für Informatik 21. Mai 2015 Zusammenfassung Für den Empfänger einer seriellen Schnittstelle ist eine funktionierende

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther

Mehr

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen Xilinx ISE Tutorial 1 Einführung Die HaDePrak-DLX wird mithilfe der Xilinx ISE Software aufgebaut. Sie integriert einen Editor für Hardwarebeschreibungssprachen, ein Eingabeprogramm für schematische Layouts

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum. 3. Praktikumskomplex - Schaltungen mit digitalen Grundgattern

Aufgaben zum Elektronik - Grundlagenpraktikum. 3. Praktikumskomplex - Schaltungen mit digitalen Grundgattern UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 2000/2001 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 3. Praktikumskomplex - Schaltungen

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Labor Ausgabe 1.0, 09.01.2017 Autor: Klaus Gosger K. Gosger, 2016 / 2017 Skript 1/17 K. Gosger, 2016 / 2017 Skript 2/17 Inhaltsverzeichnis Versuch 1 - Schachuhr... 4 1.1 Konzeption

Mehr

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung)

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Folgende Schritt-für-Schritt-Anleitung zeigt exemplarisch den Arbeitsablauf der HDLCodegenerierung für das Spartan-3E

Mehr

Mikrocontrollertechnik. F5 Erstes Programm. AVR Studio 4

Mikrocontrollertechnik. F5 Erstes Programm. AVR Studio 4 In diesem Kapitel wird erklärt wie man möglichst schnell mit dem Programm Studio 4 von Atmel und einem ISP Programmer einen ATmega Controller programmiert. Es ist kein umfassendes Tutorial zum Programm

Mehr

Installation von PACTware

Installation von PACTware Installation von PACTware Laden Sie sich die gewünschte DTM collection von unserem Produkt Selektor auf Ihren Rechner. Entpacken Sie die zip Datei mit einem geeigneten Programm z.b. Winzip oder Total Commander

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Elektronikpraktikum SS Serie D. Krambrich, W. Lauth, U. Schäfer, S. Tapprogge. Programmierbare Logikbausteine

Elektronikpraktikum SS Serie D. Krambrich, W. Lauth, U. Schäfer, S. Tapprogge. Programmierbare Logikbausteine Elektronikpraktikum SS 2010 9.Serie 06.2010 D. Krambrich, W. Lauth, U. Schäfer, S. Tapprogge Mi. 30.06.10 13:00-16:00 Uhr, oder Do. 01.07.10 13:00-16:00 Uhr Ort: Gebäude 02-412 (PC-Pool) 3. Stock, Raum

Mehr

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation Anleitung Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4 Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Simulation 3.1 Project Configuration Folder/Device/Sources

Mehr

Softwareupdate-Anleitung // Porty L 600 / Porty L 1200

Softwareupdate-Anleitung // Porty L 600 / Porty L 1200 Softwareupdate-Anleitung // Porty L 600 / Porty L 1200 1 Softwareupdate-Anleitung // Porty L 600 / Porty L 1200 HENSEL-VISIT GmbH & Co. KG Robert-Bunsen-Str. 3 D-97076 Würzburg-Lengfeld GERMANY Tel./Phone:

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen:

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Unterprogramme Dr. Wolfgang Günther Unterprogramme 2 Unterprogramme Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Prozeduren

Mehr

CPLD Einführung. Version: Datum: Autor: Werner Dichler

CPLD Einführung. Version: Datum: Autor: Werner Dichler CPLD Einführung Version: 0.0.1 Datum: 27.01.2013 Autor: Werner Dichler Inhalt Inhalt... 2 Programmierbare Logik... 3 Hersteller / Typ... 3 Chip Aufbau... 4 Test-Konfiguration... 11 Projekt erstellen...

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Einführung in Xilinx Webpack ISE 10.1

Einführung in Xilinx Webpack ISE 10.1 Einführung in Xilinx Webpack ISE 10.1 Diese Version beschreibt sowohl die Benutzung des Spartan2 als auch des Spartan3 Version Oktober 2010 Urs Graf 1 Installation... 3 2 Was ist das Webpack?... 4 2.1

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag,

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag, Elektronik-Praktikum, Digitalteil Institut für Kernphysik Protokoll Projekt 2 Programmierbare Logik Intsar Bangwi Physik Bachelor bangwi@gmail.com Sven Köppel Physik Master koeppel@fias.uni-frankfurt.de

Mehr

Anleitung ISE13. ISE 13.4 (Xilinx) Designflow. 1/11 Aktualisiert: 11/2012 Pal

Anleitung ISE13. ISE 13.4 (Xilinx) Designflow. 1/11 Aktualisiert: 11/2012 Pal ISE 13.4 (Xilinx) Anleitung ISE13 Designflow 1/11 Aktualisiert: 11/2012 Pal Inhaltsverzeichnis 1. Projekterstellung 3 2. Bausteinauswahl 4 3. Einbinden der Quelldateien 5 4. Die Pinbelegungsdatei 6 5.

Mehr

Einführung in Altera Quartus II 11.0

Einführung in Altera Quartus II 11.0 Einführung in Altera Quartus II 11.0 Version 0.1 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

Softwareupdate-Anleitung // AC Porty L Netzteileinschub

Softwareupdate-Anleitung // AC Porty L Netzteileinschub 1 Softwareupdate-Anleitung // AC Porty L Netzteileinschub Softwareupdate-Anleitung // AC Porty L Netzteileinschub HENSEL-VISIT GmbH & Co. KG Robert-Bunsen-Str. 3 D-97076 Würzburg-Lengfeld GERMANY Tel./Phone:

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Anleitung zur Schnellinstallation TU3-S

Anleitung zur Schnellinstallation TU3-S Anleitung zur Schnellinstallation TU3-S25 1.01 Table of Contents Deutsch 1 1. Bevor Sie anfangen 1 2. Installation der Hardware 2 3. Zugriff auf die Speichergeräte im TU3-S25 4 Troubleshooting 5 Version

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Mit PuTTY und WinSCP an der Pi

Mit PuTTY und WinSCP an der Pi Mit PuTTY und WinSCP an der Pi arbeiten (Zusammenfassung) Stand: 08.10.2016 Inhalt 1. Einleitung... 1 2. Mit PuTTY arbeiten... 2 2.1 Kopieren und Einfügen... 2 2.2 Eine Sitzung mit PuTTY... 2 2.3 Verbindung

Mehr

Selbstbau-USB-Programmiergerät für AVR

Selbstbau-USB-Programmiergerät für AVR Jean-claude.feltes@education.lu 1 Selbstbau-USB-Programmiergerät für AVR Nach Christian Ullrich Homepage: www.ullihome.de Weitere Infos bei http://weigu.lu/a/pdf/micel_f6_programmieradapter.pdf Schaltung

Mehr