Praktikum Systementwurf mit VHDL HDL Design Lab

Größe: px
Ab Seite anzeigen:

Download "Praktikum Systementwurf mit VHDL HDL Design Lab"

Transkript

1 Praktikum Systementwurf mit VHDL HDL Design Lab

2 Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR

3 Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

4 Nachrichtenübertragung Sender Klartext Abhören Verfälschen Empfänger

5 Verschlüsselte Nachrichtenübertragung Klartext Verschlüsselung Chiffretext Chiffretext Chiffretext Abhören Verfälschen Entschlüsselung Klartext

6 Symmetrische Verschlüsselung Klartext Verschlüsselung Chiffretext Chiffretext Entschlüsselung Klartext

7 Asymmetrische Verschlüsselung Klartext Verschlüsselung A A Chiffretext Chiffretext B B Entschlüsselung Klartext

8 Digitale Unterschrift Klartext Verschlüsselung A A Unterschrift Unterschrift B B Entschlüsselung Klartext

9 IDEA Algorithmus Symmetrischer Algorithmus Blockchiffrierung, 4x16 Bit Ein- / Ausgabedaten ( Bit 128 Bit Schlüssel (52 Teilschlüssel zu Bit Operationen: XOR Addition Modulo Multiplikation Modulo 2 +1

10 Schlüsselgenerator Aufbau IDEA Algorithmus 128 Bit Schlüssel 4x16 Bit Eingabedaten Runde 1 Runde 2 Runde 8 Ausgabetransformation 4x16 Bit Ausgabedaten

11 Rundenmodul XOR Addierer Multiplizierer

12 Ausgabetransformation Addierer Multiplizierer

13 Aufgabenstellung Implementierung des Chiffrieralgorithmus in VHDL Anpassung der Implementierung an FPGA Hardware

14 Xilinx FPGA CLBs IOBs

15 XC3S500E Ressourcen verfügbar: benötigt: CLBs: IOBs:

16 Rundenmodul R R R R R R R R XOR Adder Multiplier

17 Datenpfad Rundenmodul X 1 X 4 Z 5 Z 6 Z 1 Z 4 R5 R8 X 3 X 2 R6 R7 Z 3 Z 2 R2 R3 R4 R1 Y 3 Y 2 Y 4 Y 1

18 Bewertung Praktikumsausarbeitung: Vorbereitungsfragen Simulationsplots mit Beschriftung VHDL Code Prüfung Fragen VHDL allgemein Verständnis Aufgabenstellung Praktikum

19 VHDL - Konzepte: Device Externes Interface a0 a1 a2 b0 b1 b2 xorop Interne Funktionalität o0 o1 o2

20 VHDL - Konzepte: Entity Device wird durch entity modelliert. Entity name Port name Port entity xorop is port (A,B: in std_logic_vector (15 downto 0); O: out std_logic_vector (15 downto 0);); end xorop; Port mode port -Konstrukt modelliert data input/output.

21 VHDL - Konzepte: architecture Interne Funktionalität wird durch architecture modelliert Architecture name Entity name architecture arch1 of xorop is begin end arch1;

22 Architecture: Überblick architecture arch1 of xorop is begin end arch1; Reihenfolge der Statements zwischen begin und end ist belanglos process und component beschreiben nebenläufige Abarbeitung Eine reine Verhaltensbeschreibung enthält nur Prozesse und Signalzuweisungen. Eine reine Strukturbeschreibung enthält nur components.

23 Mehrere architectures zu einem entity Die Funktionalität eines entity kann durch verschiedene architectures beschrieben sein. entity xorop is architecture behav of xorop is. architecture gate of xorop is. architecture struct of xorop is.

24 Architecture Beispiel: XOR Process Sensitivity List: architecture arch1 of xorop is begin ( A,B ) process begin O <= A xor B; end process; combinational logic: all input signals (right side of assignments) sequential logic: only clock and reset Signal Assignments end arch1; Input-/Output-Signale werden durch entity definiert

25 AllgemeinesBeispielfür architecture Variable assignment Signal assignment architecture arch4 of reg4 is begin process(clk) is variable mem : std_logic; begin if clk = '1' and clk eventthen if reset = 1 then ; 0 =:mem else mem := reg_in; end if; end if; reg_out <= mem after 5 ns; end process; end arch4; Sequential statements

26 Testbench Testbench: Modul zum Test der Funktionalität des Designs durch Simulation. Test Bench a0 a1 a2 b0 b1 b2 xorop o0 o1 o2 Entity-Deklarationen der Testbench haben keine Input- und Output-Ports

27 Testbench: XOR entity tb_xorop is end; architecture tb of tb_xorop is component xorop port( A : in std_logic_vector(15 downto 0); B : in std_logic_vector(15 downto 0); O : out std_logic_vector(15 downto 0) ); end component; signal A, B, O : std_logic_vector(15 downto 0):=" "; begin my_xorop: xorop port map(a, B, O); A<=" ", " " after 100 ns; B<=" ", " " after 200 ns; end tb; configuration cfg_tb_xorop of tb_xorop is for tb for my_xorop: xorop use entity work.xorop(arch1); end for; end for; AUTOMATIC IN XILINX TOOLS! end cfg_tb_xorop; no I/O Ports make module xorop known to testbench define testbench signals instantiation apply stimulus to input signals Define the architecture to be used in testbench

28 Kontakt: Mailingliste Tutorstunde: Mittwoch, 16:00-18:00, 2977 Dipl.-Ing. Ning Chen

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Letzte Woche: Rückgekoppelte Schaltnetze!

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2018 Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch 16.05.2018, 10:00 Uhr 1.1. Einführung In Übung 4 haben Sie einen Einzyklen-Datenpfad

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology VHDL Informationstechnik für Luft- und Raumfahrt Informationstechnik für Luftund Raumfahrt Programmierbare Logic Was würdet Ihr mit einer Tüte mit 100.000.000 NANDS machen? Man Könnte: CPUS Speicher Devices

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Arithmetik VHDL - Funktionen

Mehr

Übungsblatt 7 Implementierung von Programmsteuerbefehlen in einer Befehlspipeline Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 7 Implementierung von Programmsteuerbefehlen in einer Befehlspipeline Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 7 Implementierung von Programmsteuerbefehlen in einer Befehlspipeline Abgabefrist: Mittwoch 21.06.2017, 14:00 Uhr 1.1. Einführung Programmsteuerbefehle

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology VHDL Informationstechnik für Luft- und Raumfahrt Informationstechnik für Luftund Raumfahrt Programmierbare Logic Was würdet Ihr mit einer Tüte mit 100.000.000 NANDS machen? Man Könnte: CPUS Speicher Devices

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Großer Beleg. Arithmetische Optimierung eines kryptographischen Algorithmus für moderne FPGA-Architekturen. Peter Heinzig. 17.

Großer Beleg. Arithmetische Optimierung eines kryptographischen Algorithmus für moderne FPGA-Architekturen. Peter Heinzig. 17. Großer Beleg Arithmetische Optimierung eines kryptographischen Algorithmus für moderne FPGA-Architekturen Peter Heinzig 17. Januar 2011 1/23 Peter Heinzig Großer Beleg Überblick Einleitung 1 Einleitung

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Integrated HW/SW Systems Group 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel.

Integrated HW/SW Systems Group  20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel. Erweiterte Simulation / Aufgaben 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 20 November 2009 1 Inhalt Erweiterung der Simulation Ausgabe der Bilddaten in eine Datei

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Rechnernetze und Organisation

Rechnernetze und Organisation Arithmetic Logic Unit ALU Professor Dr. Johannes Horst Wolkerstorfer Cerjak, 9.2.25 RNO VO4_alu Übersicht Motivation ALU Addition Subtraktion De Morgan Shift Multiplikation Gleitkommazahlen Professor Dr.

Mehr

Kryptograhie Wie funktioniert Electronic Banking? Kurt Mehlhorn Adrian Neumann Max-Planck-Institut für Informatik

Kryptograhie Wie funktioniert Electronic Banking? Kurt Mehlhorn Adrian Neumann Max-Planck-Institut für Informatik Kryptograhie Wie funktioniert Electronic Banking? Kurt Mehlhorn Adrian Neumann Max-Planck-Institut für Informatik Übersicht Zwecke der Krytographie Techniken Symmetrische Verschlüsselung( One-time Pad,

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli)

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli) Digital imulator Kapitelverzeichnis (uch Künzli) Für die Übungs Projekte nächstes bitte das nächste Mal den LapTop mitbringen und vorher den Digital imulator vom Netz laden: http://www.digital-simulator.de/.

Mehr

Tristate Buffer / erste Module

Tristate Buffer / erste Module IP-Core Generator / Automaten / Platzierung Tristate Buffer / erste Module 27 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 27 November 2009 1 Inhalt IP-Core Generator Implementierung

Mehr

Integrated HW/SW Systems Group IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Integrated HW/SW Systems Group   IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Simulation / VGA Ansteuerung 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 19 November 2009 1 Inhalt Simulation Erstellen einer Testbench Einrichten der Simulation Durchführen

Mehr

Übungsblatt 6. Implementierung einer Befehlspipeline

Übungsblatt 6. Implementierung einer Befehlspipeline Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 6. Implementierung einer Befehlspipeline 1.1. Einführung Durch die Einteilung der Befehlsverarbeitung in mehrere Zyklen in dem vorangegangenen

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Fahrzeugtechnik und Tribologie Mechatronik und Automatisierungstechnik

Mehr

Entwurfsverfahren digitaler Schaltungen

Entwurfsverfahren digitaler Schaltungen Fakultät für Elektrotechnik und Informationstechnik Lehrstuhl für Entwurfsautomatisierung Univ.-Prof. Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen II. Logiksimulation II. Logiksimulation

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 33 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 33 Problem

Mehr