Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Größe: px
Ab Seite anzeigen:

Download "Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1"

Transkript

1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44

2 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau einer VHDL-Beschreibung Architektur-Beschreibungen Signale vs. Variablen 2 / 44

3 Überblick zu VHDL grafische Schaltplaneingabe auf Logikelementebene kritisch starker Anstieg der Entwurfskomplexität "Time to market" deswegen Hardwarebeschreibung durch Hochsprachen Vorteil: einheitliche Beschreibung für Simulation und Synthese etablierte Modellierungssprachen: Verilog (amerik. Markt) VHDL (europ. Markt) mittlerweile steigender Anteil von SystemC, SystemVerilog (für Systemmodellierung und Systemverifikation) 3 / 44

4 Überblick zu VHDL VHDL unter IEEE-Norm 1076 in 1987, 1993, 2000, 2002 und 2008 standardisiert synthesefähiger Anteil unter standardisiert!! VHDL ist eine Modellierungssprache, keine Programmiersprache Kleinschreibung üblich (aber Unterschiede in der Literatur!) 4 / 44

5 Überblick zu VHDL Kommentare werden in VHDL mit erstellt (seit 2008 Blockkommentar möglich) vollständige VHDL-Anweisungen mit ; abgeschlossen Signalzuweisungen erfolgen mit <= Operator Variablenzuweisungen erfolgen mit := Operator einzelne Signalwerte mit einzelnen Anführungeszeichen ( 0 ) Vektoren mit doppelten Anführungszeichen ( 0001 ) 5 / 44

6 Vergleich VHDL/Verilog [1] gleichwertige Modellierung von Hardware-Komponenten allerdings leicht versetzte Abdeckung über den Verhaltensebenen 6 / 44

7 Vergleich VHDL/Verilog [1] VHDL: Vielzahl von Datentypen (sprach- und benutzerdefiniert) Verilog: nur sehr einfache sprachdefinierte Datentypen Verilog: kein Konzept für Packages (für wiederverwendete Datentypen, Funktionen) oder Bibliotheken interpretierende Sprache Verilog ist für Beginner einfacher zu erlernen 7 / 44

8 Vergleich VHDL/Verilog [1] VHDL erscheint zunächst komplizierter (stark typisiert, mehrere Modellierungswege) jedoch mächtig für fortgeschrittene Benutzer VHDL: mehr Konstrukte für High-Level Modellierungen Verilog: Möglichkeit auch Basiszellen (ASIC, FPGA) zu modellieren (in VHDL über VITAL Standard) Verilog: Explizite Unterscheidung zwischen Registern und Verbindungen VHDL ist an ADA angelehnt, Verilog stärker an C 8 / 44

9 Designflow Wie kommt man vom HDL Code zur tatsächlichen Hardware (FPGA, ASIC)? Designflow beschreibt Abbildungsschritte oft Unterschiede in Abhängigkeit von der verwendeten Tool-Chain 9 / 44

10 Vereinfachter Allgemeiner Designflow VHDL-Code Schaltplan Testbench Constraints Synthese Funktionale Simulation Translation Map Place & Route Floorplanning, Layout Timing Analyse, DRC Checks Konfigurationsdatei Implementierung Tapeout Zeitbehaftete Simulation FPGA ASIC 10 / 44

11 Synthese auch Logik-Synthese genannt Übersetzung des HDL Codes in architektur-spezifische Netzliste Netzliste auf Register-Transfer Ebene (RTL) Kombinatorische Logik D CLK Q Kombinatorische Logik D CLK Q Kombinatorische Logik clk 11 / 44

12 Implementierung Abbildung der Netzliste auf Zieltechnologie Bibliothekselemente einer ASIC-Bibliothek Bibliothekselemente eines FPGA-Devices Abschätzung von Zeitinformationen für zeitbehaftete Simulation SDF (Standard Delay Format) 12 / 44

13 Prinzipieller Aufbau einer VHDL-Beschreibung B i b l i o t h e k e n e i n b i n d e n l i b r a r y <Name>; z. B. B i b l i o t h e k s n a m e o d e r WORK Verz. use <Name>.[<Name>.... ] ( < B e z e i c h n e r > a l l ) ; S c h n i t t s t e l l e d e r Komponente d e f i n i e r e n e n t i t y <Entityname> i s [ g e n e r i c (< D e k l a r a t i o n von Parametern > ) ; ] port(< D e k l a r a t i o n d e r Ein und Ausgaenge >); [< E n t i t y d e k l a r a t i o n e n >;] end <Entityname >; F u n k t i o n a l e s V e r h a l t e n d e r Komponente b e s c h r e i b e n a r c h i t e c t u r e <A r c h i t e k t u r n a m e > of <Entityname> i s [< A r c h i t e k t u r d e k l a r a t i o n e n >;] begin {<VHDL Anweisungen >;} end <A r c h i t e k t u r n a m e >; 13 / 44

14 Prinzipieller Aufbau einer VHDL-Beschreibung o p t i o n a l d i e K o n f i g u r a t i o n f e s t l e g e n w i c h t i g b e i mehreren A r c h i t e k t u r b e s c h r e i b u n g e n zu e i n e r E n t i t y c o n f i g u r a t i o n <K o n f i g u r a t i o n s n a m e > of <Entityname> i s f o r <A r c h i t e k t u r n a m e > { f o r <Komponente> <Bindungsanweisungen >; end f o r ; } end f o r ; end [ c o n f i g u r a t i o n ] [< K o n f i g u r a t i o n s n a m e >]; 14 / 44

15 Beispiel einer VHDL-Beschreibung e i n f a c h e s B e i s p i e l : AND G a t t e r mit 2 Eingaengen l i b r a r y i e e e ; use i e e e. std_logic_1164. a l l ; f u e r Datentyp STD_LOGIC, s p a e t e r mehr... S c h n i t t s t e l l e e i n e s AND2 G a t t e r s e n t i t y AND2 i s port ( a : i n s t d _ l o g i c ; b : i n s t d _ l o g i c ; o : out s t d _ l o g i c ) ; end AND2 ; F u n k t i o n a l e s V e r h a l t e n des G a t t e r s a r c h i t e c t u r e b e h a v i o r of AND2 i s begin o <= a and b ; end b e h a v i o r ; 15 / 44

16 use für Einbinden von Bibliothekselementen oder eigene Paketen es kann jeder Name eines Paketes oder einer Bibliothek sichtbar gemacht werden Wichtig: Bibliotheken vor JEDER entity einbinden! mit Hilfe von all, werden alle Sub-Elemente sichtbar Vorsicht mit all: auf Abhängigkeiten achten!!! 16 / 44

17 use a l l e Elemente des P a k e t e s std_logic_1164 aus d e r B i b l i o t h e k i e e e, z. B. Datentyp s t d _ l o g i c l i b r a r y i e e e ; use i e e e. std_logic_1164. a l l ; a l l e Elemente des P a k e t e s own_package use work. own_package. a l l ; 17 / 44

18 entity Schnittstelle eines VHDL-Funktionsblockes nach außen (vergleichbar mit PINs eines IC-Gehäuses) S c h n i t t s t e l l e d e r Komponente d e f i n i e r e n e n t i t y <Entityname> i s [ g e n e r i c (< D e k l a r a t i o n von Parametern > ) ; ] port(< D e k l a r a t i o n d e r Ein und Ausgaenge >); [< E n t i t y d e k l a r a t i o n e n >;] end <Entityname >; generic: zur Festlegung generischer Parameter für die Komponente (z.b. einen Parameter für die Busbreite) 18 / 44

19 entity Deklaration der Anschlüsse erfolgt über port-anweisung Datentyp und Richtung der Ports (in, out, inout, buffer, linkage) muss festgelegt werden Anschlüsse werden mit Signalen verbunden, für Kommunikation nach außen (z.b. anderen Komponenten) Kommunikation innerhalb der Komponente WICHTIG: Variablen sind keine Signale! (später mehr) 19 / 44

20 architecture beschreibt das Verhalten eines VHDL-Moduls zu einer Entity verschiedene Möglichkeiten der Beschreibung (Unterschiede in Literatur) Strukturbeschreibung Istanziierung und Verbindung von Komponenten Verhaltensbeschreibung Prozessbeschreibung Funktionalitätsbeschreibung mit Prozessen Datenflussbeschreibung parallele Signalzuweisungen von booleschen Gleichungen 20 / 44

21 Strukturbeschreibung Verwendung von bereits vorhandenen VHDL-Modulen oder Bibliothekselementen zuerst Deklaration der Komponenten-Schnittstelle danach Instanziierung der Komponente im architecture-body component <Entityname> [ g e n e r i c (<Parameter D e k l a r a t i o n e n >); ] port ( I /O D e k l a r a t i o n e n ) ; end component ; 21 / 44

22 Strukturbeschreibung <I n s t a n z b e z e i c h n e r >: <Entityname> [ g e n e r i c map (<Parameter Zuweisungen >) ] port map (< Portzuweisungen >); jede Instanz erhält eine Bezeichnung zur Referenzierung port map: Verknüpfung der Instanz mit der Schnittstelle der Hauptkomponente und/oder internen Signalen generic map (optional): Übergabe von Parametern an die Instanz (später mehr dazu, z.b. bei der Verwendung interner FPGA-Komponenten) 22 / 44

23 Strukturbeschreibung AND G a t t e r mit 3 Eingaengen mit H i l f e von 2 x AND2 l i b r a r y i e e e ; use i e e e. std_logic_1164. a l l ; S c h n i t t s t e l l e des AND3 G a t t e r s e n t i t y and3 i s port ( a : i n s t d _ l o g i c ; b : i n s t d _ l o g i c ; c : i n s t d _ l o g i c ; o : out s t d _ l o g i c ) ; end and3 ; a r c h i t e c t u r e b e h a v i o r of and3 i s component AND2 i s port ( a : i n s t d _logic ; b : i n s t d _ l o g i c ; o : out s t d _ l o g i c ) ; end component ; 23 / 44

24 Strukturbeschreibung s i g n a l out_zw : std_logic ; begin 1. I n s t a n z u1 des AND2 G a t t e r s und Port Mapping u1 : AND2 port map( a, b, out_zw ) ; 2. I n s t a n z u1 des AND2 G a t t e r s und Port Mapping u2 : AND2 port map( c, out_zw, o ) ; end b e h a v i o r ; 24 / 44

25 Strukturbeschreibung resultiernde Schaltung der AND3-Komponente 25 / 44

26 Datenflussbeschreibung Spezialfall der Verhaltensbeschreibung, in der Literatur oft nicht explizit definiert/unterschieden Modellierung des Datenflusses über kombinatorische logische Funktionen ohne Prozesse alle Signalzuweisungen einer Datenflussbeschreibung verhalten sich nebenläufig 26 / 44

27 Datenflussbeschreibung e n t i t y RSFF i s port ( s, r : i n s t d _logic ; q, nq : out s t d _ l o g i c ) ; end RSFF ; a r c h i t e c t u r e d a t a f l o w of RSFF i s Z w i s c h e n s i g n a l e e i n f u e g e n ( Ausgaenge q, nq n i c h t l e s b a r ) s i g n a l nq_zw : s t d_logic ; s i g n a l q_zw : s t d _ l o g i c ; begin q_zw <= r nor nq_zw ; nq_zw <= s nor q_zw ; q <= q_zw ; nq <= nq_zw ; end d a t a f l o w ; 27 / 44

28 Datenflussbeschreibung Synthese-Ergebnis der Datenflussbeschreibung Problem dieser Schaltung? 28 / 44

29 Prozessbeschreibung Beschreibung des Verhaltens mit Hilfe von Prozessen Prozesse ermöglichen die Modellierung sequentieller Anweisungen (Verzweigungen, Schleifen, usw.) Allgemeine Prozess-Beschreibung: [< Prozessname >:] p r o c e s s [ ( S e n s i t i v i t a e t s l i s t e ) ] <D e k l a r a t i o n e n > begin {< S e q u e n t i e l l e Anweisungen >} end p r o c e s s [< Prozessname >]; 29 / 44

30 Prozessbeschreibung Prozess muss Sensitivitätsliste enthalten oder wait-anweisungen Sensitivitätsliste Signale durch Kommata getrennt Signale der Liste starten Prozessbearbeitung durch Simulator aktivieren die durch Prozess abgebildete HW und zwar wenn eines der Signal sich ändert ( Event ) ohne Sensitivitätsliste (wait-anweisungen) nicht synthesefähig (z.b. für Simulation) wait f o r 20 ns ; synthesefähig wait u n t i l c l k = 1 and c l k e v e n t ; 30 / 44

31 Prozessbeschreibung für synthesefähige Prozesse werden Prozesse mit Sensitivitätsliste empfohlen (REICHARDT) ABER: wait-anweisungen vorallem sinnvoll für einfache Testbenches (keine Synthesefähigkeit benötigt) Verwendung eines Prozessnamens ist optional Deklarationsteil kann eigene Datentypen, Konstanten oder Variablen enthalten funktionales Verhalten wird zwischen begin und end process; definiert 31 / 44

32 Prozessbeschreibung mehrere Prozesse innerhalb einer architecture verhalten sich nebenläufig im Simulator werden sequentiellen Anweisungen nacheinander abgearbeitet (wie in einer Programmiersprache) Abarbeitung im Simulator in unendlich kleiner Zeit ein Synthesewerkzeug versucht diese seq. Anweisungen auf Hardwarefunktionselemente abzubilden 32 / 44

33 Prozessbeschreibung in Prozessen sind unbedingte Signalzuweisungen erlaubt, selektive und bedingte Zuweisungen hingegen nicht (dort z.b. mit if then else arbeiten!) wichtig: Aktualisierung der Signalzuweisungen immer erst am Prozessende!!! Problem: während Prozessausführung nicht auf aktuelle Werte zugreifbar dafür gibt es Variablen 33 / 44

34 Architektur-Beschreibungsformen in der Praxis Mischung der verschiedenen Beschreibungsformen Strukturbeschreibung für Modul- und Hierarchiebildung Funktionalität über Verhaltens- und Datenflussbeschreibung die Strukturbeschreibung ermöglicht u.a. auch das Einbinden sogenannter Hard-Macros im FPGA (Multiplizierer, BRAM,...) 34 / 44

35 Signale, Variablen und Konstanten Unterscheidung für VHDL-Beginner oft schwierig Signale kann man prinzipiell als Verbindungen ansehen für externe und interne Anbindung von Komponenten, Funktionsblöcken Ports einer Entity werden auch wie Signale behandelt Signale müssen eindeutigen Namen und Typen innerhalb eines VHDL-Moduls haben 35 / 44

36 Signale, Variablen und Konstanten Definition von Signalen erfolgt im Architektur-Deklarationsteil... a r c h i t e c t u r e... e i n f a c h e s S i g n a l s i g n a l a : b i t ; 0 o d e r 1 s i g n a l b : s t d _ l o gic ; Neunwertige L o g i k ( empfohlen! ) S i g n a l v e k t o r e n s i g n a l c : std_logic_vector (7 downto 0 ) ; s i g n a l d : std_logic_vector (0 to 1 5 ) ; I n i t i a l i s i e r u n g ( n i c h t empfohlen! ) nur S i m u l a t i o n, NICHT SYNTHETISIERBAR! s i g n a l e : s t d _ l o gic := 0 ; begin / 44

37 Signale, Variablen und Konstanten Signalzuweisung über <= Operator Unbedingte Signalzuweisung:... s i g n a l a : s t d _ l o gic ; s i g n a l b : std_logic_vector (15 downto 0 ) ;... begin B e i s p i e l e u n b e d i n g t e S i g n a l z u w e i s u n g e n a <= b ( 6 ) ; a <= 1 ; b <= " " ; 37 / 44

38 Signale, Variablen und Konstanten Bedingte Signalzuweisung: [< B e z e i c h n e r >:] <Signalname> <= <Logischer_Ausdruck_1> when <Bedingung_1> e l s e [ <Logischer_Ausdruck_ 2> when <Bedingung_2> e l s e ]... <logischer_ Ausdruck_ n >; B e i s p i e l : o u t p u t <= a when c o n t r o l = 0 e l s e b when c o n t r o l = 1 e l s e 0 ; führt zu Prioritäts-Encoder (Verschachtelung von Gattern) vergleichbar mit if then else - Konstrukt 38 / 44

39 Signale, Variablen und Konstanten Selektive Signalzuweisung: [ B e z e i c h n e r : ] with <S i g n a l k o m b i n a t i o n > s e l e c t <Signalname> <= <Logischer_Ausdruck_1> when <Signalwert_ 1 >, [< Logischer_Ausdruck_ 2> when <Signalwert_ 2 >],... [< Logischer_Ausdruck_n> when o t h e r s ] ; B e i s p i e l : M u l t i p l e x e r, S i g n a l e x und y, e i n S t e u e r s i g n a l z und d e r Ausgang o u t p u t vom Typ s t d _ l o g i c gegeben MUX2: with z s e l e c t o u tput <= x when 0, y when 1, 0 when o t h e r s ; Auswahl aus einer Reihe gleichberechtigter Möglichkeiten (Multiplexerstruktur) 39 / 44

40 Signale, Variablen und Konstanten Konstanten und Variablen bestehen aus Name, Type und optional einem Initialwert Konstanten z.b. für generische Parameter in Packages Variablen werden normalerweise im Prozess-Deklarationsteil definiert Ausnahme: shared variable (von mehreren Prozessen benutzbar) Variablen-Zuweisung mit := Operator constant r e g i s t e r w i d t h : i n t e g e r := 3 2 ; constant PI : r e a l := 3, ; v a r i a b l e n,m: i n t e g e r := 3 2 ; 40 / 44

41 Datentypen std_logic und std_ulogic für HW-Entwurf sind Elemente 0 und 1 des Datentyps BIT nur unzureichend keine Möglichkeit Signale auf hochohmig zu legen (z.b. wichtig für Busse, wie beispielsweise I 2 C) für ASIC-Entwurf ist auch eine Unterscheidung in schwache und starke Signale notwendig aus diesem Grund gibt es den Datentyp std_logic (9-wertige Logik) ursprünglich in VHDL nicht vorgesehen, deshalb die Bibliothek ieee.std_logic_1164 einbinden 41 / 44

42 Datentypen std_logic und std_ulogic Werte: U : nicht initialisiert (im Simulator) X : undefiniert (Simulator erkennt Buskonflikt) 0 : starke logische 0 1 : starke logische 1 Z : hochohmig (Tri-State Ausgang) W : schwach unbekannt (Buskonflikt zw. L und H ) L : schwache logische 0 H : schwache logische 1 - : Don t Care (Zustand bedeutungslos, für Minimierung verwendbar) 42 / 44

43 Datentypen std_logic und std_ulogic bei std_logic können mehrere Treiber für ein Signal existieren (z.b. wichtig für bidirektionale Busse mit mehreren Sendern) Auflösungsfunktion entscheidet, welches Signal sich durchsetzt (ist in IEEE 1164 als Tabelle gespeichert) Datentyp std_ulogic (u für unresolved): Signale dürfen nur in einem Prozess, bzw. einer nebenläufigen Anweisungen eine Wertzuweisung erfahren std_ulogic ist weniger flexibel, dadurch aber Erkennung versehentlicher Mehrfachzuweisungen (Fehlermeldung im Simulator) 43 / 44

44 Literatur Bücher VHDL-Synthese, Jürgen Reichardt, Bernd Schwarz, 5. Auflage, Oldenbourg Wissenschaftsverlag GmbH, 2009, ISBN The Designer s Guide to VHDL, P.J. Ashenden, 3. Auflage, Morgan Kaufmann Publisher, 2008, ISBN Paper [1] VHDL & Verilog Compared & Contrasted - Plus Modeled Example Written in VHDL, Verilog and C, Douglas J. Smith, 33rd Design Automation Conference, Las Vegas, / 44

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr

VHDL-Syntax F. Schubert 09/08. Prof. Dr. F. Schubert. Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX. 09/2008 Sbr Prof. Dr. F. Schubert Hochschule für Angewandte Wissenschaften Hamburg VHDL-SYNTAX 09/2008 Sbr Erläuterungen: Groß geschrieben: Selbstdefinierte Namen z.b. VADD, CARRY_IN, TD Klein geschrieben und fett

Mehr

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau Formal methods for fun and profit VHDL Ilja Kipermann Sommersemester 2005 Leitung Jun. Prof. Beckert Universität Koblenz-Landau Einleitung Früher: Manuelles Zeichnen von Belichtungsmasken Heute: Hardwarebeschreibungssprachen

Mehr

Design Compiler. VHDL Kurzbeschreibung und in dem SYNOPSYS

Design Compiler. VHDL Kurzbeschreibung und in dem SYNOPSYS Werkzeuge : SYNOPSYS Design-Vision Design-Kits : AMS Hit-Kit designsetup : syn ams A. Mäder Diese Anleitung beschreibt die Synthese mit den SYNOPSYS Werkzeugen: Wegen der vielfältigen Möglichkeiten in

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages

VHDL. D. Neuhäuser, G. Grune (W. Koch) Einleitung. Entity. Architecture. Sequenziell Strukturell. Packages Beschreibung von Rechensystemen auf 6 Ebenen Algorithmische Ebene spezifiziert den Algorithmus zur Lösung eines Entwurfsproblems Dezember 2012 PMS-Ebene (Processor, Memory, Switch) beschreibt Rechner grob

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL)

Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Entwurf digitaler Schaltungen mit Hochsprachen (VHDL) Einführung in die Hardwarebeschreibungssprache VHDL (Text/Graphik) sowie Schaltungssynthese bw. -optimierung F. Stockmayer Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

VHDL Syntax V1.0. F. Wolf

VHDL Syntax V1.0. F. Wolf VHDL Syntax V1.0 Graz, Februar 2002 Inhaltsverzeichnis 1 Einführung 3 2 Kurze Beschreibung der Design-Einheiten 4 2.1 Schnittstellenbeschreibung (Entity) 4 2.2 Architektur (Architecture) 5 2.3 Konfiguration

Mehr

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str.

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str. CPLDs Vom Platinenlayout zum ersten Projekt Jakob Holderbaum Erstellt am 9. August 2008 Berufskolleg Olsberg Paul-Oeventrop Str. 7 59939 Olsberg Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 Vorwort 3 2 Programmierbare

Mehr

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

zu große Programme (Bildschirmseite!) zerlegen in (weitgehend) unabhängige Einheiten: Unterprogramme

zu große Programme (Bildschirmseite!) zerlegen in (weitgehend) unabhängige Einheiten: Unterprogramme Bisher Datentypen: einfach Zahlen, Wahrheitswerte, Zeichenketten zusammengesetzt Arrays (Felder) zur Verwaltung mehrerer zusammengehörender Daten desselben Datentypes eindimensional, mehrdimensional, Array-Grenzen

Mehr

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim Methoden, Werkzeuge und Architekturen zum Entwurf und zur Realisierung von Signal- und Bildverarbeitungsalgorithmen für die Umsetzung in R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim,

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

VHDL- und mixed-mode Netzlistensimulation

VHDL- und mixed-mode Netzlistensimulation Werkzeuge : CADENCE NCSim Design-Kits : AMS Hit-Kit designsetup : ldv ams A. Mäder Diese Anleitung beschreibt die grundlegenden Schritte, um innerhalb einer VHDL-Testumgebung Gatternetzlisten, als Ausgabe

Mehr

Funktionale Sicherheit Testing unter

Funktionale Sicherheit Testing unter Funktionale Sicherheit Testing unter den Bedingungen der Safety Integrity Levels Präsentation auf dem Neu-Ulmer Test-Engineering Day Sebastian Stiemke, MissingLinkElectronics, Neu-Ulm 1 Inhalt Idee hinter

Mehr

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese

Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese Einführung in VHDL und Anleitung zur CPLD Simulation und Synthese ZÜRCHER HOCHSCHULE FÜR ANGEWANDTE WISSENSCHAFTEN INSTITUTE OF EMBEDDED SYSTEMS Autoren: Hans-Joachim Gelke Letzte Änderung: 24. Februar

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Simulation von Gatternetzlisten VHDL und Mixed-mode

Simulation von Gatternetzlisten VHDL und Mixed-mode VHDL und Mixed-mode Werkzeuge : Cadence NCSim Design-Kits : AMS Hit-Kit edasetup : ldv ams Andreas Mäder Diese Anleitung beschreibt die grundlegenden Schritte, um mit einer VHDL-Testumgebung Gatternetzlisten,

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

zu große Programme (Bildschirmseite!) zerlegen in (weitgehend) unabhängige Einheiten: Unterprogramme

zu große Programme (Bildschirmseite!) zerlegen in (weitgehend) unabhängige Einheiten: Unterprogramme Bisher Datentypen: einfach Zahlen, Wahrheitswerte, Zeichenketten zusammengesetzt Arrays (Felder) zur Verwaltung mehrerer zusammengehörender Daten desselben Datentypes eindimensional, mehrdimensional, Array-Grenzen

Mehr

Die Programmiersprache C Eine Einführung

Die Programmiersprache C Eine Einführung Die Programmiersprache C Eine Einführung Christian Gentsch Fakutltät IV Technische Universität Berlin Projektlabor 2. Mai 2014 Inhaltsverzeichnis 1 Einführung Entstehungsgeschichte Verwendung 2 Objektorientiert

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Verifikation. ECS Group, TU Wien

Verifikation. ECS Group, TU Wien Verifikation ECS Group, TU Wien Überblick Abstraktionsebenen Testbench Modelsim Überblick Hardware Modeling Hardware Specification Functional Specification High Level Requirements Detailed Design Description

Mehr

Team. Prof. Dirk Timmermann. Siemens AG (ICN Greifswald)

Team. Prof. Dirk Timmermann. Siemens AG (ICN Greifswald) Teilprojekt MWN (wired) Ziele und Vorgehensweise Putbus, den 09.09.2004 Dipl.-Ing. Harald Widiger Dipl.-Ing. Stephan Kubisch Universität Rostock Fakultät für Informatik und Elektrotechnik Institut für

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel : Logiksynthese und VHDL-Einführung Mario Schölzel Geschichte von VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt

Mehr

VHDL vs Verilog. Hochschule Bremen. RST-L Ausarbeitung. Anke Kamp Frank Schmidt Florian Thiem

VHDL vs Verilog. Hochschule Bremen. RST-L Ausarbeitung. Anke Kamp Frank Schmidt Florian Thiem Hochschule Bremen Fachbereich Elektrotechnik und Informatik Flughafenallee 10 28199 Bremen WS07/08 RST-L Ausarbeitung VHDL vs Verilog Anke Kamp Frank Schmidt Florian Thiem Inhaltsverzeichnis 1 Einleitung

Mehr

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München

Praktium Systementwurf mit VHDL HDL Design Lab. Lehrstuhl für Entwurfsautomatisierung Technische Universität München Praktium Systementwurf mit VHDL HDL Design Lab Lehrstuhl für Entwurfsautomatisierung Technische Universität München 1 Das Projekt: Verschlüsselung Entschlüsselung 2 Hardware-Emulation Oszillograph Signalgenerator

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

C.3 Funktionen und Prozeduren

C.3 Funktionen und Prozeduren C3 - Funktionen und Prozeduren Funktionsdeklarationen in Pascal auch in Pascal kann man selbstdefinierte Funktionen einführen: Funktionen und Prozeduren THEN sign:= 0 Funktion zur Bestimmung des Vorzeichens

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Teil C Anwendung von VHDL

Teil C Anwendung von VHDL Teil C Anwendung von VHDL G. Lehmann/B. Wunder/M. Selz 229 1 Simulation 1.1 Überblick Die Simulation dient im allgemeinen der Verifikation von Entwurfsschritten. Bei einer Designmethodik mit VHDL unter

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs

VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs VHDL Design Guidelines Interstaatliche Hochschule für Technik Buchs Version 2.0.3 Beta, 20. Februar 2012 Inhaltsverzeichnis Inhaltsverzeichnis... 1 Revisionen... 2 Quickstart... 3 Guidelines Stufe I...

Mehr

Crashkurs VHDL FH München, FB 06

Crashkurs VHDL FH München, FB 06 Crashkurs VHDL FH München, FB 06 1 Einleitung... 2 2 Signale, Typen und Vektoren... 3 2.1 Konventionen in VHDL... 4 2.1.1 Namensregeln... 4 2.1.2 Kommentare... 4 2.1.3 Zuweisungen... 4 2.2 Typologie...

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect

Mehr

Programmieren für Wirtschaftswissenschaftler SS 2015

Programmieren für Wirtschaftswissenschaftler SS 2015 DEPARTMENT WIRTSCHAFTSINFORMATIK FACHBEREICH WIRTSCHAFTSWISSENSCHAFT Programmieren für Wirtschaftswissenschaftler SS 2015 Lucian Ionescu Blockveranstaltung 16.03 27.3.2015 3. Verzweigungen und Schleifen

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Ein Überblick über programmierbare logische Bausteine TU Berlin FG emsp 1 Einleitung Verschiedene Realisierungsmöglichkeiten von logischen Zusammenhängen 1. Kombination von einfachen

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Verteidigungsvortrag zur Studienarbeit Ludger Irsig Fraunhofer IIS/EAS Digitale Kameras allgemein

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Deklarationen in C. Prof. Dr. Margarita Esponda

Deklarationen in C. Prof. Dr. Margarita Esponda Deklarationen in C 1 Deklarationen Deklarationen spielen eine zentrale Rolle in der C-Programmiersprache. Deklarationen Variablen Funktionen Die Deklarationen von Variablen und Funktionen haben viele Gemeinsamkeiten.

Mehr

Tutorium für Fortgeschrittene

Tutorium für Fortgeschrittene Tutorium für Fortgeschrittene Stroop Aufgabe: Die Farbe gesehener Wörter ist zu klassifizieren. Es gibt 4 Farben (rot, blau, gelb, grün) In Eprime: red, blue, yellow and green. Jeder Farbe ist einer Taste

Mehr

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Inhalt 1. Einleitung... 1 2. Erstellen eines Inverters... 1 3. Erstellen eines Blockes / Modules... 14 4. Bau eines komplexen

Mehr

8.3 Taster am µcontroller

8.3 Taster am µcontroller 8.3 Taster am µcontroller AVR-KOMPENDIUM Nachdem im vorigen Beispiel das Port als Ausgang verwendet wurde erweitern wir dieses Beispiel um einen Taster - um auch das Einlesen von digitalen Signalen zu

Mehr

Repetitorium Informatik (Java)

Repetitorium Informatik (Java) Repetitorium Informatik (Java) Tag 6 Lehrstuhl für Informatik 2 (Programmiersysteme) Übersicht 1 Klassen und Objekte Objektorientierung Begrifflichkeiten Deklaration von Klassen Instanzmethoden/-variablen

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover

Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover Prof. Dr.-Ing. Holger Blume, Hans-Peter Brückner, Christian Leibold, Ingo Schmädecke Gliederung Motivation

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

Einführung in Xilinx Webpack ISE 10.1

Einführung in Xilinx Webpack ISE 10.1 Einführung in Xilinx Webpack ISE 10.1 Diese Version beschreibt sowohl die Benutzung des Spartan2 als auch des Spartan3 Version Oktober 2010 Urs Graf 1 Installation... 3 2 Was ist das Webpack?... 4 2.1

Mehr