Entwurfsverfahren digitaler Schaltungen

Größe: px
Ab Seite anzeigen:

Download "Entwurfsverfahren digitaler Schaltungen"

Transkript

1 Fakultät für Elektrotechnik und Informationstechnik Lehrstuhl für Entwurfsautomatisierung Univ.-Prof. Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen II. Logiksimulation

2 II. Logiksimulation A) Zweck der Simulation 1. Verifikation (Validierung) des Entwurfs (Logikverifikation, Zeitverhalten) 2. Fehleranalyse (Fehlersimulation, Fehlerüberdeckung) 3. Software-Entwicklung für noch nicht gefertigte Hardware (Co-Simulation) B) Simulationsebenen Abstraktionsgrad System Algorithmus Register-Transfer Switch-Level Logik Process Device Circuit Komplexität # Transistoren 2

3 C) Simulationssystem (auf Logikebene) Schaltungsmodelle - logische Funktionen - zeitliches Verhalten Signalwertverläufe Netzliste Simulator Stimuli übliche Signalmodelle: - Boolesche Logik { 0, 1 } - Dreiwertige Logik { 0, 1, X } - IEEE Standard Logik { U, X, 0, 1, Z, W, L, H, - } 3

4 Simulation der logischen Funktion ( Zero Delay ) Beispielschaltung: x z 1 z2 z3 z 5 z 4 y x z 1 z 2 z 3,z 4 z 5 y Boolesche Funktion: y = x x = x x = 0 4

5 Schaltungsbeschreibung (Schaltungsmodellierung) Aufbau der Schaltung mit Basiselementen des Simulationssystems Modellierung von Funktion und Zeitverhalten: Δ: Grundzeiteinheit x τ y τ: (Signal )Laufzeit τ d = n Δ: Gatterlaufzeit (gate delay) y t = x t τ τ w = m Δ: Leitungslaufzeit (wire delay) z.b.: a b z τ y z t = a t b t ; y t = z t τ = a t τ b t τ 5

6 Simulation mit Zeitverhalten Beispiel: x z z z Race z 4 z 5 z 6 y x z 1 z 2 z 3,z 4 z 5 z 6 y Laufzeitabhängige Effekte: Hazard a) Race: Wettlauf von Signalwertänderungen auf Leitungen vor einem gemeinsamen Knoten (Gatter) b) Hazard: (Spike, Glitch) Signalwertverlauf, welcher der reinen Logikfunktion der Schaltung widerspricht und nur durch die Verzögerungszeiten entsteht 6

7 Zeitauswertung (Zeitverifikation, Raceanalyse) Die Logik wird nicht berücksichtigt x y fan-in ohne Spezifikation der Gatterfunktion Nimmt man eine Flanke an x bei t = 0 an, so ergibt sich folgender Zeitfahrplan für den Durchlauf dieser Flanke zum Schaltungsausgang x 6 y Am Ausgang ist jetzt die maximale Einschwingunsicherheit abzulesen (wichtig für die Auslegung der Taktzeit synchroner Schaltungen). 7

8 Simulation mit Flankenunsicherheit Da eine Signalwertänderung nicht mit unendlich steilen Flanken abläuft, entsteht an den Übergängen ein Bereich, in dem der Signalwert undefiniert ist. Das führt in der Simulation zu einem neuen Signalwert X. Beispiel: x z z z z 4 z 5 y Der Flankenunsicherheitsbereich soll eine Grundzeiteinheit dauern: x X X z X X z X X z 3,z X X z X X y X 1 X Hazard 8

9 Wertetabelle mit einem neuen Signalwert X : AND 0 1 X OR 0 1 X NOT 0 1 X X 1 0 X X X 0 X X X X 1 X 9

10 Simulation mit Laufzeitunsicherheit Von einem Gatter sei die minimale und die maximale Verzögerungszeit bekannt, dazwischen ist der Ausgangswert undefiniert. x τ d y x (ideal) y X X 0 0 z.b. τ d min = Δ τ d max = 3Δ 10

11 Schaltungsbeispiel: x τ d τ d min = z 1 z 2 z z 3 5 3Δ τ d τ d τ d τ d z 4 z 6 τ d y τ d max = 4 Δ x 0 X X z X X X X z X X X X X X z 3,z X X X X X X X X z X X 1 1 X X z X 0 0 X X y 0 0 X X 0 0 X X 0 0 Hazard möglich 11

12 Wegen der laufenden Verbreiterung der Unsicherheitsbereiche erhält man mit diesem Verfahren ein Ergebnis im Sinne einer worst-case-analyse. übliche Modellierung des Zeitverhaltens: Gatter- und Leitungslaufzeiten: Pin-to-pin Verzögerungen τ d τ w2 τ w1 12

13 Simulationsprogramm a) Modellierung der Schaltungselemente durch Basiselemente des Simulationssystems (Primitives) b) Schaltungsnachbildung (Compilation oder Listenaufbau) c) Simulationsdurchführung Compilergesteuerte Simulation Die zu untersuchende Schaltung wird in ein ausführbares Programm übersetzt. Für jeden Taktzyklus wird die logische Funktion der gesamten Schaltung ausgewertet, wobei von den Eingangssignalen und den internen Schaltungszuständen (Register) ausgegangen wird. Sehr schnell, aber normalerweise keine Zeitauswertung (Simulation mit Null-Verzögerung). 13

14 Ereignisgesteuerte Simulation Bei der ereignisgesteuerten Simulation werden nur Änderungen der Signalzustände der Schaltung betrachtet. In jedem Simulationsschritt werden Schaltungskomponenten nur dann neu ausgewertet, wenn an ihren Eingängen ein Signalwertwechsel auftritt. Signalwertwechsel werden durch Ereignisse dargestellt. Signalwertwechsel: val( z, tv ) val( z, tv 1) Ereignis: Die Auswertung einer Komponente zur Simulationszeit t gen verursacht einen Signalwertwechsel an Signal z mit dem neuen Wert val (z, t exe ) für den Simulationszeitpunkt t exe. E = ( z, val( z, texe), tgen, texe) z : val(z, t t t t gen exe exe : : t exe gen ): = τ : Signalname Wert des Signals z zum Zeitpunkt t exe Generierungszeitpunkt des Ereignisses Ausführungszeitpunkt des Ereignisses modellierte Verzögerungszeit 14

15 Simulationszyklus: Ereignisausführung (Signalwerte zuweisen) Bestimmung betroffener Komponenten Auswerten dieser Komponenten Ereignisgenerierung unverzögerte Ereignisse Weiterschalten der Simulationszeit zum nächsten Zeitstempel (verzögerte Ereignisse, Ereignisliste) 15

16 Beispielablauf einer Simulation: Multiplexer Schaltung: Nand_a Verzögerungszeit: τ = 2 A Sel τ Inv Sel n τ S 1 Nand_c τ Q B S2 τ Nand_b 16

17 A Sel τ Inv Sel n Nand τ _ a S 1 Nand τ _ c Verzögerungszeit: τ = 2 Q Simulationsablauf: B S 2 τ Nand _ b t A B Sel Sel n S 1 S 2 Q ausgewertete Elemente Initialzustand (Stimuli) neue Ereignisse (signal, val, t gen, t exe ) (A, 1, 0, 20); (B, 1, 0, 10); (Sel, 0, 0, 30) 10 1 Nand_b (S 2, 0, 10, 12) 12 0 Nand_c (Q, 1, 12, 14) Nand_a Inv, Nand_b (Sel n, 1, 30, 32); (S 2, 1, 30, 32) Nand_a, Nand_c (S 1, 0, 32, 34); (Q, 0, 32, 34) Nand_c (Q, 1, 34, 36)

18 Signalverläufe: 18

19 Modellierung und Simulation mit VHDL VHSIC Hardware Description Language (VHSIC = Very High Speed Integrated Circuit) weit verbreitete Hardware-Beschreibungssprache für Simulation, Dokumentation und Synthese. Primär in Europa, in USA ist Verilog stärker verbreitet Standardformat für Bibliotheken und für den Datenaustausch zwischen Entwurfswerkzeugen Ähnlichkeit mit Programmiersprache; Erweiterungen zur Hardware-Modellierung: - Nebenläufigkeit (Beschreibung parallel ablaufender Prozesse) - Zeitmodell (Verzögerungszeiten; ereignisgesteuerte Simulation) Hardware-Modellierung: - auf verschiedenen Abstraktionsebenen - Beschreibung von Verhalten und Struktur 19

20 VHDL Modell eines Multiplexers 1. Spezifikation: Multiplexer mit den Eingängen A, B, Sel und dem Ausgang Q. Bei Sel = 0 wird A auf den Ausgang Q geschaltet. Bei Sel = 1 wird B auf den Ausgang Q geschaltet. Die Eingänge und der Ausgang sind vom Typ Bit. 2. Realisierung: Beschreibung der Schnittstelle: ENTITY Declaration Beschreibung einer Implementierung: ARCHITECTURE ENTITY Multiplexer IS PORT (A, B, Sel: in bit; Q: out bit); END Multiplexer; ENTITY Declaration Sel A B ARCHITECTURE 1 ARCHITECTURE 2... ARCHITECTURE N Black Box Entity Multiplexer Entity = Entity Declaration + Architecture Q 20

21 VHDL Modell eines Multiplexers Realisierung in Datenflussbeschreibung (Verhaltensbeschreibung): ENTITY Multiplexer IS PORT (A, B, Sel: in bit; Q: out bit); END Multiplexer; Sel A B Black Box Entity Multiplexer ARCHITECTURE dataflow OF Multiplexer IS PROCESS (A, B, Sel) CASE Sel IS WHEN 0 => Q <= A; WHEN 1 => Q <= B; END CASE; END PROCESS; END dataflow; Sel A B Q MUX Architecture dataflow Q 21

22 VHDL Modell eines Multiplexers Realisierung durch strukturelle Beschreibung: A Sel Inv Sel _ n Nand_a S 1 Nand_c Q B S 2 Nand_b ENTITY Multiplexer IS PORT (A, B, Sel: in bit; Q: out bit); END Multiplexer; LIBRARY BasicGates; USE BasicGates.ALL; ARCHITECTURE structure OF Multiplexer IS COMPONENT Inverter PORT (i: in bit; o: out bit); END COMPONENT; COMPONENT Nand2 PORT (i1, i2: in bit; o: out bit); END COMPONENT; SIGNAL Sel_n, S1, S2: bit; Inv: Inverter PORT MAP (Sel, Sel_n); Nand_a: Nand2 PORT MAP (A, Sel_n, S1); Nand_b: Nand2 PORT MAP (B, Sel, S2); Nand_c: Nand2 PORT MAP (S1, S2, Q); END structure; 22

23 VHDL Modell eines Multiplexers 3. Validierung durch Simulation: Testbench: Anregung der Schaltungseingänge mit Stimuli. Erfassen der Signalwerte an den Schaltungsausgängen. Überwachen der Korrektheit mit Assertions (optional). Sel A B Testbench (Funktionstest) MUX Multiplexer (dataflow) Q 23

24 VHDL Modell eines Multiplexers Testbench für Multiplexer Beschreibung der Schnittstelle: ENTITY Testbench IS keine Eingaenge, keine Ausgaenge. -- END Testbench; Implementierung, Einbindung des Multiplexers als COMPONENT: ARCHITECTURE Funktionstest OF Testbench IS COMPONENT Multiplexer PORT (A, B, Sel: in bit; Q: out bit); END COMPONENT; SIGNAL A, B, Sel, Q: bit; MUX_1: Multiplexer PORT MAP (A, B, Sel, Q); A <= 0, 1 after 20 ns, 0 after 60 ns, 1 after 100 ns; B <= 1, 0 after 30 ns, 1 after 70 ns; Sel <= 0, 1 after 40 ns, 0 after 80 ns; END Funktionstest; 24

25 VHDL Modell eines Multiplexers Konfiguration, Entity / Architecture Binding: CONFIGURATION Test_Setup OF Testbench IS FOR Funktionstest FOR MUX_1: Multiplexer USE ENTITY WORK.Multiplexer(dataflow); END FOR; END FOR; END Test_Setup; A B Sel Q t (ns) 25

26 Prozesse: Anweisungen im PROCESS werden sequentiell in einer Endlosschleife ausgeführt. Alle Prozesse sind nebenläufig (laufen zeitgleich / parallel ab). Ein PROCESS muss entweder eine WAIT-Anweisung oder eine Sensitivity-List besitzen. Jede Signalzuweisung außerhalb eines PROCESS wird vom Simulator in einen eigenen PROCESS umgewandelt. In einem PROCESS können neben Signalen auch Variablen benutzt werden. Beispiel: Clock Generator Beispiel für Signalzuweisung ARCHITECTURE Simple OF CLKgen IS CLK <= NOT CLK AFTER 10 ns; END Simple; Beispiel für SENSITIVITY LIST ARCHITECTURE SList OF CLKgen IS PROCESS (CLK) CLK <= NOT CLK AFTER 10 ns; END PROCESS; END SList; Beispiel für WAIT ON-Anweisung ARCHITECTURE Wait1 OF CLKgen IS PROCESS CLK <= NOT CLK AFTER 10 ns; WAIT ON CLK; END PROCESS; END Wait1; Beispiel für WAIT FOR-Anweisung ARCHITECTURE Wait2 OF CLKgen IS PROCESS CLK <= NOT CLK; WAIT FOR 10 ns; END PROCESS; END Wait2; 26

27 Zwei Prozessbeschreibungen: ENTITY XXX IS PORT (a, b: IN bit; c: OUT bit); END XXX; ARCHITECTURE beispiel1 OF XXX IS PROCESS IF a = 1 AND b = 1 THEN c <= 1 ; WAIT ON a, b; ELSIF a = 0 THEN c <= 0 ; WAIT ON a; ELSIF b = 0 THEN c <= 0 ; WAIT ON b; END IF; END PROCESS; END beispiel1; ENTITY XXX IS PORT (a, b: IN bit; c: OUT bit); END XXX; ARCHITECTURE beispiel2 OF XXX IS PROCESS IF a = 1 AND b = 1 THEN c <= 1 ; WAIT ON a, b; ELSE c <= 0 ; WAIT ON a, b; END IF; END PROCESS; END beispiel2; Was beschreiben diese Prozesse? Welche Modellierung ist günstiger für einen Simulator? 27

28 Signale und Variablen: Signale: Haben zeitliches Gedächtnis. Die Einplanung zukünftiger Signalwerte erfolgt durch den Eintrag einer Transaktion (w n, t n ) im Signal-Treiber. (w n : eingeplanter Signalwert, t n : Zeitpunkt) (Transaktion Ereignis) Bei Wertzuweisungen <= waveform wird der neue Wert eingeplant. Die Übernahme erfolgt erst, wenn der PROCESS suspendiert wird (in der Signal-Update-Phase). Variablen: Haben kein zeitliches Gedächtnis. Bei Wertzuweisungen := expression wird der neue Wert sofort übernommen. 28

29 Delay-Mechanismen: Transport-Delay: (signal <= transport waveform;) Modellierung eines idealen Bauelements mit unbegrenzter Übertragungsbandbreite. Regel für das Einfügen einer neuen Transaktion (w n, t n ) in den Signaltreiber: - Alle (späteren) Transaktionen (w i, t i ) mit t i t n werden gelöscht. - Die neue Transaktion (w n, t n ) wird am Ende der Liste angefügt. Inertial-Delay: (signal <= waveform;) Modellierung realer Bauelemente mit endlicher Übertragungsbandbreite. (Impulse, die kürzer als die Verzögerung des Bauelements sind, werden unterdrückt.) Regel für das Einfügen einer neuen Transaktion (w n, t n ) in den Signaltreiber: - Alle (späteren) Transaktionen (w i, t i ) mit t i t n werden gelöscht. - Die neue Transaktion (w n, t n ) wird am Ende der Liste angefügt. - Transaktionen im Intervall t now t i t n werden gelöscht, wenn w i w n. 29

30 ENTITY inverter IS PORT ( inp : IN std_logic; outp : OUT std_logic); END inverter; ARCHITECTURE delaymechanism OF inverter IS outp <= TRANSPORT NOT inp AFTER 10 ns; END ARCHITECTURE; inp inp outp outp ns 30

31 ENTITY inverter IS PORT ( inp : IN std_logic; outp : OUT std_logic); END inverter; ARCHITECTURE delaymechanism OF inverter IS outp <= INERTIAL NOT inp AFTER 10 ns; END ARCHITECTURE; inp inp outp outp ns 31

32 ENTITY inverter IS PORT ( inp : IN std_logic; outp : OUT std_logic); END inverter; ARCHITECTURE delaymechanism OF inverter IS outp <= REJECT 2 ns INERTIAL NOT inp AFTER 10 ns; END ARCHITECTURE; inp inp outp outp ns 32

33 Ereignisgesteuerte Simulation: Abarbeitung aller Transaktionen zum Zeitpunkt t n in Δ-Zyklen. Weiterschalten der Simulationszeit t n t n+1, wenn alle Transaktionen zur Zeit t n abgearbeitet sind. Δ-Zyklus: Signal-Update-Phase: - Ausführen aller Transaktionen zum aktuellen Zeitpunkt. - Ändert eine Transaktion einen Signalwert, so liegt ein Ereignis vor. Process-Evaluation-Phase: - Prozesse, für die ein Ereignis vorliegt, werden ausgeführt. - Wertzuweisungen an Signale werden im Treiber eingeplant. Δ-Zyklen Signal- Update Process- Evaluation Signal- Update +Δ +Δ Process- Evaluation t n t n+1 Zeit 33

34 Vertiefende Veranstaltungen des Lehrstuhls: Praktikum Systementwurf mit VHDL (4P; Bachelor 5-8) Praktikum VLSI Design Lab (4P; Master 1-3) 34

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Letzte Woche: Rückgekoppelte Schaltnetze!

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Entwurfsverfahren digitaler Schaltungen

Entwurfsverfahren digitaler Schaltungen Fakultät für Elektrotechnik und Informationstechnik Lehrstuhl für Entwurfsautomatisierung Univ.-Prof. Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen III. Testverfahren 1. Fehlerdiagnose

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung MIN Department Informatik VHDL-Einführung 1 MIN Department Informatik VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuit Entwicklung 1983 vom DoD initiiert 1987 IEEE Standard Überarbeitungen

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. r. Franz J. Rammig Paderborn, 7..22.Böke,P.hivukula Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" ommersemester 22. Teil: GTI er erste Teil

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.!

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Fakultät Informatik Institut für Technische Informatik VLSI-Entwurfssysteme, Diagnostik und Entwurf! Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Norman Seßler! Dresden, 1.7.2009! Gliederung!

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Übungsblatt 6. Implementierung einer Befehlspipeline

Übungsblatt 6. Implementierung einer Befehlspipeline Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 6. Implementierung einer Befehlspipeline 1.1. Einführung Durch die Einteilung der Befehlsverarbeitung in mehrere Zyklen in dem vorangegangenen

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Simulation digitaler Schaltungen auf GPUs

Simulation digitaler Schaltungen auf GPUs Simulation digitaler Schaltungen auf GPUs Yohan Humbert TU Kaiserslautern Embedded Systems Group 1 Inhalt 1. Motivation und Geschichte 2. Simulation 3. Synchrones Verfahren 4. Asynchrones Verfahren 5.

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

IHS2 Seminar. Simulation. Steffen Ostendorff

IHS2 Seminar. Simulation. Steffen Ostendorff Simulation Steffen Ostendorff BlockM, R602, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 06 December 2010 Self-Organization 08 December 2010 1 Inhalt des Seminars

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

1.4 Spezifikation. Inhalte einer. Spezifikation

1.4 Spezifikation. Inhalte einer. Spezifikation 1.4 Spezifikation Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangsdiagramme... für die Ampel Task-Flow-Graphen...

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Fahrzeugtechnik und Tribologie Mechatronik und Automatisierungstechnik

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Entwurfsverfahren digitaler Schaltungen. Name: Vorname: Matrikelnr.:

Entwurfsverfahren digitaler Schaltungen. Name: Vorname: Matrikelnr.: LEHRSTUHL FÜR ENTWURFSAUTOMATISIERUNG Fakultät für Elektrotechnik und Informationstechnik Technische Universität München Professor Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen Datum:

Mehr

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fabian Pilz Dresden,

Mehr