Eingebettete Systeme

Größe: px
Ab Seite anzeigen:

Download "Eingebettete Systeme"

Transkript

1 Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1

2 Letzte Woche: Rückgekoppelte Schaltnetze! Schaltnetz vs. Schaltwerk Digitale Schaltung Schaltnetz (kombinatorisch) Schaltwerk Asynchron Synchron (sequentiell) 2

3 Letzte Woche: Volladdierer! si = xi XOR yi XOR ui! ui+1 = (xi yi) + ((xi + yi) ui) x i y i u i x i y i u i a u i+1 b s i [H. Liebig: Logischer Entwurf digitaler Systeme, 2006] 3

4 Letzte Woche: SR Flipflop! Asynchron, pegelgesteuert S R Operation (Q ) R Q 0 0 Zustand halten 1 0 Q setzen S Q 0 1 Q zurücksetzen 1 1 undefiniert 4

5 Entwurfsebenen für digitale Hardware! Systembeschreibung! Registertransferebene! Netzliste, Schaltplan! Physisches Layout VHDL, Verilog x r 1 r 2 = r 2 r 1 x _ r 2 r 1 x = r 2 r 1 x _ r 2 r 1 x y = r 2 r 1 x y r 1 r 2 5

6 10. VHDL Ziel:! Beschreibung und Simulation von digitaler Hardware mit der Hardwarebeschreibungssprache VHDL

7 VHDL! HDL = hardware description language! VHDL = VHSIC hardware description language! VHSIC = very high speed integrated circuit! Initiiert durch US Department of Defense! 1987 IEEE Standard 1076! Neue Versionen: 1993, 2000, 2002, 2008! Standard in der (Europäischen) Industrie (daneben: Verilog)! Erweiterung: VHDL-AMS, beinhaltet analoge Modellierung 7

8 Ziele! Zwei Ziele: Simulation und Synthese! Synthese: Übersetzung in eine Implementierungstechnologie wie ASIC oder FPGA! Nicht alle Konstrukte in VHDL sind synthetisierbar! Modellierung auf verschiedenen Abstraktionsebenen möglich! Technologie-unabhängig hohe Wiederverwendbarkeit! Standard Portabilität (verschiedene Synthese- und Analysewerkzeuge) 8

9 Struktur- und Verhaltensbeschreibungen! Strukturbeschreibung: Aufbau einer Schaltung aus Komponenten! Verhaltensbeschreibungen: welche datenverarbeitenden Prozesse realisiert eine Schaltung! Typischerweise werden beim Hardwareentwurf Verhaltensbeschreibungen nach und nach durch Strukturbeschreibungen ersetzt. 9

10 Entities und Architectures! In VHDL heißt jeder modellierte Baustein design entity! Entities bestehen aus einer entity declaration und einer oder mehrerer Architekturen. Jede Architektur beschreibt ein Modell der Entity. Im Normalfall wird die zuletzt angegebene Architektur verwendet. 10

11 Beispiel: Addierer - Entity declaration! Entity declaration: entity full_adder is port(a, b, carry_in: in Bit; -- input ports sum,carry_out: out Bit); -- output ports end full_adder; 11

12 Beispiel: Addierer - Architektur mit Verhaltensbeschreibung architecture behavior of full_adder is begin sum <= (a xor b) xor carry_in after 10 Ns; carry_out <= (a and b) or (a and carry_in) or (b and carry_in) after 10 Ns; end behavior; 12

13 Beispiel: Addierer - Architektur mit Strukturbeschreibung architecture structure of full_adder is component half_adder port (in1,in2:in Bit; carry:out Bit; sum:out Bit); end component; component or_gate port (in1, in2:in Bit; o:out Bit); end component; signal x, y, z: Bit; -- local signals begin -- port map section i1: half_adder port map (a, b, x, y); i2: half_adder port map (y, carry_in, z, sum); i3: or_gate port map (x, z, carry_out); end structure; 13

14 Beispiel: Addierer - Architektur mit Strukturbeschreibung! Architekturen beschreiben Implementierungen von Entities.! Für die Komponente half_adder brauchen wir wiederum! eine entity Deklaration, z.b. entity half_adder port (in1,in2:in Bit; carry:out Bit; sum:out Bit); end half_adder;! (Mindestens) eine Architektur Diese Architektur kann wiederum Komponenten enthalten! Architekturen und ihre Komponenten können eine Hierarchie beliebiger Tiefe beschreiben. 14

15 Struktur- und Verhaltensbeschreibungen! Strukturbeschreibungen benutzen Instanzen von Komponenten.! Verhaltensbeschreibungen definieren das Verhalten ohne die Struktur festzulegen.! Mischformen sind möglich.! Mischformen werden benötigt, zumindest für die Basisfälle in der strukturellen Hierarchie.! Strukturelle Hierarchie ist essentiell für die kompakte und übersichtliche Modellierung großer Systeme.! Für die Beschreibung der VHDL-Semantik gehen wir aber davon aus, dass die Komponenten bereits instantiiert wurden und eine flache Verhaltensbeschreibung vorliegt. 15

16 Prozesse! Verhaltensbeschreibungen bestehen aus einer Menge gleichzeitig ausgeführter Prozesse.! Syntax: [label:] process[(sensitivity list)] declarations begin statements end process [label] 16

17 Prozesse Beispiele (1) architecture RTL of NANDXOR is begin process begin if (C='0') then D <= A nand B after 5 ns; else D <= A and B after 10 ns; end if; wait on A, B, C; end process; end RTL; 17

18 Prozesse Beispiele (2) signal clk : std_logic; clk_gen : process begin clk <= 0; wait for 5 ns; clk <= 1; wait for 5 ns; end process clk_gen; 18

19 Prozesse! Prozesse haben keine Unterprozesse (keine Prozesshierarchie).! Prozesse werden ausgeführt bis ein Wait-Befehl erreicht wird.! Prozesse werden nach den Bedingungen des Wait- Befehls reaktiviert.! Es gibt verschiedene Typen von Wait-Befehlen. 19

20 Wait-Befehle Vier Typen von wait-befehlen:! wait on signal list;! warte bis sich mindestens ein Signal in der Signalliste ändert;! Beispiel: wait on a;! wait until condition;! warte bis die Bedingung condition wahr wird;! Beispiel: wait until c='1';! wait for duration;! warte die angegebene Zeit ab;! Beispiel: wait for 10 ns;! wait;! warte für immer 20

21 Prozesse - Sensitivity lists! Sensitivity lists sind eine Abkürzung für einen wait on- Befehl am Ende der Prozessbeschreibung:! process (x, y) begin prod <= x and y ; end process; ist äquivalent zu process begin prod <= x and y ; wait on x,y; end process; 21

22 Signal Zuweisungen! Signal-Zuweisungen ausserhalb von Prozessen sind implizite Prozesse: a <= b and c after 10 ns ist äquivalent zu process(b, c) begin a <= b and c after 10 ns end 22

23 Konstanten, Signale und Variablen! Konstanten! der Wert einer Konstanten kann nicht geändert werden.! Beispiele: constant PI : real := ; constant DEFAULT : bit_vector(0 to 3) := 1001 ; constant PERIOD : time := 100 ns; 23

24 Konstanten, Signale und Variablen! Variablen! Variablen werden lokal in Prozessen (und Prozeduren/ Funktionen) deklariert und sind nur dort sichtbar.! Signale! verbinden Komponenten! Signale können nicht in Prozessen deklariert werden, sondern nur in Architekturen (ausserhalb von Prozessen).! Syntax:! variable_assignment ::= target := expression Example: Sum := 0! signal_assignment ::= target <= [ delay_mechanism ] waveform_element {, waveform_element }! waveform_element ::= value_expression [ after time_expression ] Beispiel: Inpsig <= 0, 1 after 5 ns, 0 after 10 ns, 1 after 20 ns; 24

25 Zuweisungen an Variablen und Signale! Zuweisungen an Variablen werden sequentiell und direkt nach ihrem Auftreten ausgeführt.! Zuweisungen an Signale werden nebenläufig durchgeführt, d.h. sie werden (sequentiell) aufgesammelt bis der Prozess anhält und werden danach parallel ausgeführt. signal a : std_logic := `0`; signal b : std_logic := `1`; swap : process variable c : std_logic := `1`; variable d : std_logic := `0`; begin a <= b; b <= a; c := d; d := c; wait on a, b; end process swap; 25

26 Aufgabe: Halbaddierer! Geben Sie eine Architektur mit einer Verhaltensbeschreibung für den Halbaddierer in VHDL. Der Halbaddierer soll der folgenden entity declaration entsprechen: entity half_adder is port( a, b: in Bit; carry, sum: out Bit); end half_adder; 26

27 Lösung: Halbaddierer entity half_adder is port( a, b: in Bit; carry, sum: out Bit); end half_adder; architecture behavior of half_adder is begin sum <= (a xor b) after 10 Ns; carry <= (a and b) after 10 Ns; end behavior; 27

28 Aufgabe: 4-Bit-Addierer! Beschreiben Sie die Struktur eines 4-Bit-Addierers in VHDL. Dabei dürfen die Entities full_adder und half_adder benutzt werden. entity adder_4bit is port(a3, a2, a1, a0, b3, b2, b1, b0: in Bit; c3, c2, c1, c0, d: out Bit); end adder_4bit; component half_adder port (in1,in2:in Bit; carry: out Bit; sum: out Bit); end component; component full_adder port (in1,in2,carry_in: in Bit; carry: out Bit; sum: out Bit); end component; 28

29 Lösung: 4-Bit-Addierer architecture structure of adder_4bit is component half_adder port(in1,in2: in Bit; carry: out Bit; sum: out Bit); end component; component full_adder port(in1,in2,carry_in: in Bit; carry: out Bit; sum: out Bit); end component; signal carry0,carry1,carry2,carry3: Bit; begin adder0: half_adder port map (a0,b0, carry0,c0); adder1: full_adder port map (a1,b1,carry0,carry1,c1); adder2: full_adder port map (a2,b2,carry1,carry2,c2); adder3: full_adder port map (a3,b3,carry2,carry3,c3); d <= carry3; end structure; 29

30 VHDL Semantik! Discrete event driven simulation! Schrittweise Semantik wie in StateCharts:! Berechnung ist eine Sequenz von Schritten! Zeit schreitet nicht unbedingt zwischen zwei Schritten voran! Ähnlich der Superstep Semantik der StateCharts! Nebenläufige Zuweisungen an Signale wie Zuweisungen in StateCharts. Ein Schritt besteht aus zwei Phasen. 30

31 Überblick Initialisierung Aktualisierung der Zeit Zuweisung neuer Signalwerte Ausführung von Prozessen Reaktivierung von Prozessen Ende der Simulation 31

32 Transaktionsliste und Prozessaktivierungsliste! Transaktionsliste! Für Signalzuweisungen! Einträge der Form (s, v, t)! Bedeutung: Signal s wird zum Zeitpunkt t auf Wert v gesetzt werden! Beispiel: (clock, 1, 10 ns)! Prozessaktivierungsliste! Für die Reaktivierung von Prozessen! Einträge der Form (p i, t)! Bedeutung: Prozess p i läuft zum Zeitpunkt t weiter. 32

33 Initialisierung! Zu Beginn wird die aktuelle Zeit, t curr, auf 0 ns gesetzt.! Jedes Signal bekommt einen initialen Wert.! Falls dort definiert, wird der Wert aus der Deklaration übernommen, z.b. signal s : std_ulogic := `0`;! Andernfalls wird der kleinste Wert verwendet, z.b. signal s : std_ulogic with type std_ulogic is (`U`, `X`, `0`, `1`, `Z`, `W`, `L`, `H`, `-`); Initialwert ist `Ù`! Es wird angenommen, dass das Signal zu jedem Zeitpunkt vor der Ausführung der Simulation diesen Wert hatte.! Jeder Prozess wird genau einmal ausgeführt (bis er anhält)! Während der Ausführung werden die Signalzuweisungen in der Transaktionsliste aufgesammelt (aber noch nicht ausgeführt)! Wenn der Prozess an einem wait for -Befehl anhält, dann wird der Prozess in die Prozessaktivierungsliste eingetragen.! Der Zeitpunkt der nächsten Runde, t next,ist der früheste der folgenden Zeitpunkte: 1. time high (Ende der Simulation). 2. Frühester Zeitpunkt in der Transaktionsliste (falls nicht leer) 3. Frühester Zeitpunkt in der Prozessaktivierungsliste (falls nicht leer) 33

34 Beispiel architecture behaviour of example is signal a : std_logic := 0 ; signal b : std_logic := 1 ; signal c : std_logic := 1 ; signal d : std_logic := 0 ; begin swap1: process(a, b) begin a <= b after 10 ns; b <= a after 10 ns; end process; swap2: process begin c <= d; d <= c; wait for 15 ns; end process; end architecture; 34

35 Signalzuweisungsphase Erster Teil eines Schritts! Jeder Simulationszyklus beginnt damit, dass die Zeit auf den nächsten Zeitpunkt gestellt wird, an dem Änderungen durchgeführt werden müssen:! t curr = t next! t next wurde am Ende der Intitialisierung (oder am Ende des letzten Zyklus) gesetzt. Es wird geprüft, ob das Ende der Simulation, time high, erreicht wurde.! Für alle (s, v, t curr ) in der Transaktionsliste:! Entferne (s, v, t curr ) von der Transaktionsliste.! s wird auf Wert v gesetzt.! Für alle Prozesse p i die auf Signal s warten:! (p i, t curr ) wird in die Prozessaktivierungsliste eingetragen.! Analog, falls sich der Wert eines wait until -Ausdrucks ändert. 35

36 Prozessaktivierungsphase -- Zweiter Teil eines Schritts! Alle Prozesse p i mit Einträgen (p i, t curr ) in der Prozessaktivierungsliste werden reaktiviert.! Alle aktivierten Prozesse werden parallel (genauer: in beliebiger Ordnung) ausgeführt.! Signalzuweisungen! werden in Transaktionsliste gespeichert (nicht sofort ausgeführt!).! Beispiele: s <= a and b; Sei v die Konjunktion aus dem aktuellen Wert von a und dem aktuellen Wert von b. Füge (s, v, t curr ) in die Transaktionsliste ein. s <= 1 after 10 ns; Füge (s, 1, t curr + 10 ns) in die Transaktionsliste ein.! Prozesse werden solange ausgeführt, bis ein wait Befehl erreicht wird.! Falls p i an einem wait for -Befehl hält, dann wird die Prozessaktivierungsliste erweitert:! Beispiel: p i hält bei wait for 20 ns; an (p i, t curr + 20 ns) wird in die Prozessaktivierungsliste eingetragen 36

37 Prozessaktivierungsphase -- Zweiter Teil eines Schritts! Falls ein Prozess den letzten Befehl erreicht und! keine sensitivity Liste hat und! der letzte Befehl kein Wait-Befehl ist, dann läuft der Prozess solange weiter bis ein wait-befehl erreicht wird.! Wenn alle Prozesse angehalten haben, wird die Zeit für den nächsten Zyklus als der früheste der folgenden Zeitpunkte berechnet: 1.time high (Ende der Simulation). 2.Frühester Zeitpunkt in der Transaktionsliste (falls nicht leer) 3.Frühester Zeitpunkt in der Prozessaktivierungsliste (falls nicht leer)! Stop, falls t next = time high oder die Transaktions- und Prozessaktivierungslisten leer sind. 37

38 Delta delay! Wie in StateCharts (Superstep Semantik) schreitet die Zeit zwischen zwei Schritten nicht notwendigerweise voran.! Mehrere (potentiell unendlich viele) Schritte können zur selben Zeit t curr geschehen.! Signal-Zuweisungen, die zur selben Zeit, aber in verschiedenen Schritten geschehen, werden durch Delta-Verzögerungen getrennt. 38

39 Delta-Zeitschritte X= 1 A = B = 1 C= 0 Delta-Zeitschritte erhalten Kausalität 1 Current time Delta delay Event 0 ns 1 -- evaluation of inverter -- (A, 1, 0 ns) 2 -- evaluation of AND and NAND -- (B, 0, 0ns), (C, 1, 0ns) 3 -- evaluation of AND -- (C, 0, 0ns) 39

40 RS-Flipflop 2nd δ st δ ns 0ns+δ 0ns+2δ R S Q nq entity RS_Flipflop is port (R, S : in std_logic; Q, nq : inout std_logic); end RS_FlipFlop; architecture one of RS_Flipflop is begin process (R,S,Q,nQ) begin Q := R nor nq; nq := S nor Q; end process; end one; 40

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Entwurfsverfahren digitaler Schaltungen

Entwurfsverfahren digitaler Schaltungen Fakultät für Elektrotechnik und Informationstechnik Lehrstuhl für Entwurfsautomatisierung Univ.-Prof. Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen II. Logiksimulation II. Logiksimulation

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung MIN Department Informatik VHDL-Einführung 1 MIN Department Informatik VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuit Entwicklung 1983 vom DoD initiiert 1987 IEEE Standard Überarbeitungen

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen:

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Unterprogramme Dr. Wolfgang Günther Unterprogramme 2 Unterprogramme Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Prozeduren

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

fakultät für informatik informatik 12 technische universität dortmund VHDL P. Marwedel Informatik 12, U. Dortmund

fakultät für informatik informatik 12 technische universität dortmund VHDL P. Marwedel Informatik 12, U. Dortmund 12 VHDL P. Marwedel Informatik 12, U. Dortmund Gliederung Einführung SystemC Vorlesungen und Programmierung FPGAs - Vorlesungen - VHDL-basierte Konfiguration von FPGAs mit dem XUP VII Pro Entwicklungssystem

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien Verilog Modellbildung für Synthese und Verifikation von Bernhard Hoppe Oldenbourg Verlag München Wien Inhalt Vorwort des Herausgebers XI 1 Einleitung 1 2 Electronic-Design mit Verflog HDL 5 2.1 Der Design-Zyklus

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Übungsblatt 3 (10 Punkte)

Übungsblatt 3 (10 Punkte) lea.schoenberger [ ] tu-dortmund.de benjamin.glaeser [ ] tu-dortmund.de niklas.ueter [ ] tu-dortmund.de mikail.yayla [ ] tu-dortmund.de Übung zur Vorlesung Eingebettete Systeme Wintersemester 17/18 Übungsblatt

Mehr

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology VHDL Informationstechnik für Luft- und Raumfahrt Informationstechnik für Luftund Raumfahrt Programmierbare Logic Was würdet Ihr mit einer Tüte mit 100.000.000 NANDS machen? Man Könnte: CPUS Speicher Devices

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Übungsblatt 3 (10 Punkte)

Übungsblatt 3 (10 Punkte) ingo.korb [ ] tu-dortmund.de niklas.ueter [ ] tu-dortmund.de benjamin.glaeser [ ] tu-dortmund.de Übung zur Vorlesung Eingebettete Systeme Wintersemester 16/17 Übungsblatt 3 (10 Punkte) Präsenzaufgaben

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Übungsblatt 3 (10 Punkte)

Übungsblatt 3 (10 Punkte) ingo.korb [ ] tu-dortmund.de thomas.hess [ ] tu-dortmund.de niklas.ueter [ ] tu-dortmund.de Übung zur Vorlesung Eingebettete Systeme Wintersemester 15/16 Übungsblatt 3 (10 Punkte) Präsenzaufgaben zur Woche

Mehr

Beschreibungsmöglichkeiten in Verilog

Beschreibungsmöglichkeiten in Verilog Fachgebiet Rechnerarchitektur Fachbereich Informatik Prof. Dr. R. Hoffmann 4/2005, 4/2007 Beschreibungsmöglichkeiten in Verilog Inhalt 1 Grundsätzliche Möglichkeiten 1.1 Strukturbeschreibung (Structural

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology

VHDL. Informationstechnik für Luftund Raumfahrt Aerospace Information Technology. Aerospace Information Technology VHDL Informationstechnik für Luft- und Raumfahrt Informationstechnik für Luftund Raumfahrt Programmierbare Logic Was würdet Ihr mit einer Tüte mit 100.000.000 NANDS machen? Man Könnte: CPUS Speicher Devices

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik Vorlesung und Übung Modellierung, Simulation, Entwurf heterogener Systeme - Am Beispiel SystemC Dr. Christoph Grimm Professur Technische Informatik 1 Dr. Ch. Grimm - Modellierung und Simulation heterogener

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Hardware/Software Co-Design

Hardware/Software Co-Design Hardware/Software Co-Design Kapitel 2: Logiksynthese und VHDL-Einführung Mario Schölzel Überblick VHDL = VHSIC Hardware Description Language VHSIC = Very-High Speed Integrated Circuits Entwickelt Anfang

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

TOIS-Projekt. Echtzeit-Bildverarbeitung für Roboter Sichtsysteme -- Systementwurf mit VHDL

TOIS-Projekt. Echtzeit-Bildverarbeitung für Roboter Sichtsysteme -- Systementwurf mit VHDL 18.331 TOIS-Projekt Echtzeit-Bildverarbeitung für Roboter Sichtsysteme -- Systementwurf mit VHDL 1 / 29 Inhalt Chipentwurf Grundlagen Begriffe VHDL Typen, Objekte sequenziell, konkurrent, hierarchisch

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

VHDL - Objekte und Typen

VHDL - Objekte und Typen VHDL - Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Objekte und Typen 1/23 2007-08-24 Inhalt

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008 Informatik II Rainer Schrader Zentrum für Angewandte Informatik Köln 3. November 008 1 / 47 / 47 jede Boolesche Funktion lässt mit,, realisieren wir wollen wir uns jetzt in Richtung Elektrotechnik und

Mehr

Example Ptolemy Model of Comp.: Synchronous Reactive

Example Ptolemy Model of Comp.: Synchronous Reactive Prinzip: Example Ptolemy Model of Comp.: Synchronous Reactive Annahme: unendlich schnelle Maschine Diskrete Ereignisse (DE) werden zyklisch verarbeitet (Ereignisse müssen nicht jede Runde eintreffen) Pro

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

Einführung in VHDL. Begleitmaterial zur Vorlesung Rechnerarchitektur im Wintersemester 2012/13

Einführung in VHDL. Begleitmaterial zur Vorlesung Rechnerarchitektur im Wintersemester 2012/13 Einführung in VHDL Begleitmaterial zur Vorlesung Rechnerarchitektur im Wintersemester 2012/13 Ursprüngliches Manuskript: 1994 Georg Baumann und Peter Marwedel (FernUniversität Hagen) Überarbeitet: 2012

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr