2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

Größe: px
Ab Seite anzeigen:

Download "2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers"

Transkript

1 Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers S 4 S 1 S 5 + Stunden + Minuten Uhrzeit Stellen Weckzeit Stellen Alarm Ein Aus S 3 S 2 Abbildung 1: Digitaler Wecker Für den Wecker in Abbildung 1 soll ein Schaltwerk entwickelt und getestet werden. Spezifikation des Weckers Eingänge Taster S 1 zum Einstellen/Anzeigen der Uhrzeit (Modus Zeit). Taster S 2 zum Einstellen/Anzeigen der Weckzeit (Modus Weckzeit). Taster S 3 zum Inkrementieren der Minuten. Taster S 4 zum Inkrementieren der Stunden. Schalter S 5 zum Aktivieren bzw. Deaktivieren des Weckalarms. Ausgänge 4 Sieben-Segment-Anzeigen zum Anzeigen der Uhrzeit bzw. der Weckzeit (nur die Minuten und die Stunden werden angezeigt). 1 Piepser, der als Alarm dient. 1

2 Zustände Der Wecker besitzt drei Zustände (siehe Abbildung 2): Alle Zustände: Durch gleichzeitiges Drücken von S 1 und S 2 geht der Wecker in den Zustand S Time über. Zustand S Time : In diesem Zustand werden die Sekunden, die Minuten (alle 60 Sekunden) und die Stunden (alle 60 Minuten) inkrementiert. Ist der Alarm aktiviert und die Weckzeit gleich der Uhrzeit, wird der Piepser eingeschaltet. Zustand S SetAlarm : Dieser Zustand wird durch Drücken des Schalters S 2 erreicht. Sind die Schalter S 2 und S 3 gleichzeitig gedrückt, werden die Minuten der Weckzeit inkrementiert. Falls S 2 und S 4 gleichzeitig gedrückt sind, werden die Stunden der Weckzeit inkrementiert. Lässt man den Schalter S 2 los, so geht der Wecker in den Zustand S Time über. Analog zum Zustand S Time wird ebenfalls die Uhrzeit inkrementiert. Zustand S SetTime : Dieser Zustand wird durch Drücken des Schalters S 1 erreicht. Sind die Schalter S 1 und S 3 gleichzeitig gedrückt, werden die Minuten inkrementiert. Falls S 1 und S 4 gleichzeitig gedrückt sind, werden die Stunden inkrementiert. Lässt man den Schalter S 1 los, so geht der Wecker in den Zustand S Time über. Die Codierung der drei Zustände ist in Tabelle 1 gegeben sowie ein (unvollständig spezifiziertes) Zustandsdiagramm in Abbildung 2. q 1 q 0 Time 0 0 Set time 0 1 Set alarm 1 0 Tabelle 1: Zustandskodierung S SetTime S Time S SetAlarm Abbildung 2: Zustandsdiagramm des digitalen Weckers Vorbereitung (Hausaufgabe) Vervollständigen Sie das Zustandsdiagramm in Abbildung 2 durch Einzeichnen aller fehlenden möglichen Zustandsübergänge. 2

3 Stellen Sie die vollständige Automatentafel auf. Bestimmen Sie aus der Automatentafel die disjunktive Minimalform (DMF) der Zustandsüberführungsfunktion. S 1 S 1 q 0 q 1 q1 q1 S2 S2 q 0 q 0 Implementieren Sie das Wecker-Schaltwerk mit D-Flipflops zur Speicherung der Zustände. 3

4 Im Weiteren wird eine Umsetzung des Weckers als VHDL-Quelltext verlangt. Die Struktur des zu entwickelten Weckers ist in Abbildung 3 gegeben. Entity alarm clock S 1 Entity controller alarm ring S 2 S 3 S 4 S 5 Process SECTIMER Process FSM Component MIN CONVERT of Entity Display60 Component HR CONVERT of Entity Display24 current state Component WMIN CONVERT of Entity Display60 Component WHR CONVERT of Entity Display24 Process Switch Display DISPLAY0 DISPLAY1 DISPLAY2 DISPLAY3 Entity MUX and Alarm digit sel<0> digit sel<1> Entity sevensegment digit sel<2> digit sel<3> digit<0> digit<1> seven segment 7 digit<2> digit<3> reset mclk 8192 Hz clk clk 50 MHz Entity clock div Abbildung 3: Struktur des VHDL-Programms Vorgefertigte Modulbeschreibungen können von edu/ti1/praktikum/uebungen/dateien-zur-versuchsdurchfuehrung heruntergeladen werden. Außerdem finden Sie diese Dateien im Labor bei der Versuchsdurchführung im Unterverzeichnis TI1-Praktikum-Termin2 ihres Heimatverzeichnisses (Homedirectory). Das Modul controller stellt das Schaltwerk des Weckers dar. Es enthält den endlichen Automaten (Prozess FSM), welcher die Taster-Eingaben S 1,...,S 5 auswertet. Ferner beinhaltet das Modul controller die Zähler für die Stunden- und Minuten-Anzeige, welche für die Uhrzeit und die Weckzeit getrennt vorhanden sind. Die Zählerstände für beide werden durch die Komponenten Display24 (für die Stunden) und Display60 (für die Minuten) in das BCD-Format umcodiert. Die Anzeige arbeitet im Zeitmultiplexverfahren, bei welchem in schneller Folge die einzelnen Stellen der Sieben-Segment-Anzeige (digit<0>,..., digit<3>) zyklisch angesteuert werden. Dieses erfolgt durch das Modul Mux and Alarm, welches einen BCD-codierten Ausgang für die jeweils aktive Stelle besitzt. Zur Umcodierung einer Stelle der BCD-Zahl in die Signale zur Ansteuerung einer Sieben-Segment-Anzeige wird das Modul sevensegment verwendet. 4

5 Vervollständigen Sie den folgenden VHDL-Code des Moduls sevensegment zur Ansteuerung der Sieben-Segment-Anzeige. -- vim: set syntax=vhdl ts=8 sts=2 sw=2 et: Project: Digitaler Wecker -- File: Segment Decoder -- Language: VHDL -- Modifications: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sevensegment IS PORT (NUMBER: IN integer RANGE 0 TO 9; DIGIT: OUT STD_LOGIC_VECTOR (6 DOWNTO 0) ); END sevensegment; ARCHITECTURE Behavioral OF sevensegment IS SIGNAL DIGIT_in : STD_LOGIC_VECTOR (6 DOWNTO 0); BEGIN PROCESS(NUMBER) BEGIN CASE NUMBER IS -- Reihenfolge WHEN 0 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 1 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 2 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 3 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 4 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 5 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 6 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 7 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 8 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN 9 => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext WHEN others => DIGIT_in <= -- Ergaenzen Sie hier den Quelltext END CASE; END PROCESS; DIGIT <= not DIGIT_in; END Behavioral; 5

6 Vervollständigen Sie den folgenden VHDL-Code des Moduls controller (Schaltwerk des Weckers). Dabei können Sie davon ausgehen, dass die Module Display24 und Display60 bereits vorhanden sind. -- vim: set syntax=vhdl ts=8 sts=2 sw=2 et: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY controller IS PORT ( S : IN std_logic_vector (1 TO 5); DISPLAY0, DISPLAY1, DISPLAY2, DISPLAY3 : OUT integer RANGE 0 TO 9; alarm : OUT std_logic; clk : IN std_logic; reset : IN std_logic ); END controller; ARCHITECTURE Behavioral OF controller IS COMPONENT Display60 IS PORT ( number : IN integer RANGE 0 TO 59; position1, position0 : OUT integer RANGE 0 TO 9); END COMPONENT; COMPONENT Display24 IS PORT ( number : IN integer RANGE 0 TO 23; position1, position0 : OUT integer RANGE 0 TO 9); END COMPONENT; SIGNAL timer : std_logic_vector (13 DOWNTO 0); SIGNAL sectrigger : std_logic; -- 1 fuer einen Takt wenn eine Sekunde -- vergangen ist, sonst 0 SIGNAL DISPLAY10, DISPLAY11, DISPLAY20, DISPLAY21 : integer RANGE 0 TO 9; SIGNAL DISPLAY30, DISPLAY31, DISPLAY40, DISPLAY41 : integer RANGE 0 TO 9; SIGNAL hours, whours : integer RANGE 0 TO 23; SIGNAL secs, mins, wmins : integer RANGE 0 TO 59; type state_type IS (ntime, set_time, set_alarm); SIGNAL current_state : state_type; BEGIN SECTIMER : PROCESS(clk, reset) VARIABLE timer_msb_to_zero : std_logic_vector(timer RANGE); BEGIN IF reset = 1 THEN 6

7 timer <= (others => 0 ); ELSIF clk event and clk = 1 THEN timer_msb_to_zero := timer; -- set msb TO zero, the msb will be our overflow bit timer_msb_to_zero(timer length-1) := 0 ; timer <= timer_msb_to_zero + 1; END IF; END PROCESS SECTIMER; sectrigger <= timer(timer length-1); FSM : PROCESS (clk, reset, current_state, secs, mins, hours, wmins, whours, S) VARIABLE next_state : state_type; BEGIN IF reset = 1 THEN hours <= 0; mins <= 0; secs <= 0; whours <= 0; wmins <= 0; alarm <= 0 ; current_state <= ntime; ELSIF (clk event and clk = 1 ) THEN IF (not (current_state = set_time) and (sectrigger = 1 )) THEN -- Zaehle Uhr hoch IF secs = 59 THEN secs <= 0; IF mins = 59 THEN mins <= 0; IF hours = 23 THEN hours <= 0; ELSE hours <= hours+1; END IF; ELSE mins <= mins+1; END IF; ELSE secs <= secs+1; END IF; END IF; CASE current_state IS -- Zustand Time WHEN ntime => -- Pruefe, ob Alarm ausgeloest werden muss -- Zustand SetTime WHEN set_time => -- Setze Minute und Stunde mit S(3) bzw. S(4) -- Zustand SetAlarm WHEN set_alarm => 7

8 -- Setze Minute und Stunde mit S(3) bzw. S(4) -- Illegale Zustaende WHEN others => END CASE; -- Setze naechsten Zustand IF ( 1 = S(1) and ( 1 = S(2))) THEN next_state := ntime; ELSIF 1 = S(1) THEN next_state := set_time; ELSIF 1 = S(2) THEN next_state := set_alarm; ELSE next_state := ntime; END IF; -- Setze naechsten Zustand current_state <= next_state; END IF; END PROCESS FSM; -- MINUTENANZEIGE (ZEIT) MIN_CONVERT : Display60 PORT MAP (number => mins, position1 => DISPLAY11, position0 => DISPLAY10); -- STUNDENANZEIGE (ZEIT) HR_CONVERT : Display24 PORT MAP (number => hours, position1 => DISPLAY21, position0 => DISPLAY20); -- MINUTENANZEIGE (WECKZEIT) WMIN_CONVERT : Display60 PORT MAP (number => wmins, position1 => DISPLAY31, position0 => DISPLAY30); -- STUNDENANZEIGE (WECKZEIT) WHR_CONVERT : Display24 PORT MAP (number => whours, position1 => DISPLAY41, position0 => DISPLAY40); -- Beschreibung, wie die DISPLAY-Variablen gesetzt werden Switch_Display : PROCESS ( current_state, DISPLAY10, DISPLAY11, DISPLAY20, DISPLAY21, DISPLAY30, DISPLAY31, DISPLAY40, DISPLAY41 ) IS BEGIN IF current_state /= set_alarm THEN DISPLAY0 <= DISPLAY10; DISPLAY1 <= DISPLAY11; DISPLAY2 <= DISPLAY20; DISPLAY3 <= DISPLAY21; 8

9 ELSE DISPLAY0 <= DISPLAY30; DISPLAY1 <= DISPLAY31; DISPLAY2 <= DISPLAY40; DISPLAY3 <= DISPLAY41; END IF; END PROCESS Switch_Display; END Behavioral; 9

10 Versuch (Labor) a) Simulation Simulieren Sie die von Ihnen entwickelte Weckerschaltung mit Hilfe des VHDL-Simulators Modelsim (zu finden auf dem Desktop: VHDL Simulation-Icon). Zu diesem Zweck steht eine Testbench für die Sieben-Segment-Anzeige und eine weitere für alle Weckerkomponenten in den Dateien tb sevensegment.vhd bzw. tb alarm clock.vhd zur Verfügung. Gehen Sie für die Simulation der Sieben-Segment-Anzeige wie in den Abbildungen 4-9 vor. Zur Simulation des Gesamtsystems führen Sie obige Schritte analog für die Testbench tb alarm clock.vhd aus. Die Testbench für alle Weckerkomponenten tb alarm clock.vhd stellt dabei die Zeit auf 07:05 ein. Der zu erwartende Signalverlauf ist in Abbildung 10 dargestellt. Abbildung 4: Übersetzen der VHDL-Quellen des Weckers. 10

11 Abbildung 5: Starten der Testbench für die Sieben-Segment-Anzeige durch einen Doppelklick auf Simulate sevensegment. Abbildung 6: Auswahl der Signale, welche während der Simulation aufgezeichnet werden. 11

12 Abbildung 7: Start der Simulation. Abbildung 8: Nach der Simulation. 12

13 Abbildung 9: Anzeige der ausgewählten Signale nach Simulationsende. Abbildung 10: Anzeige der ausgewählten Signale nach Simulationsende für die Testbench tb alarm clock.vhd. 13

14 b) Synthese Nachdem Sie den Wecker mittels Simulation auf Korrektheit überprüft haben, soll er nun mit Hilfe der FPGA-Entwicklungsumgebung Xilinx ISE für das Spartan-3 Starter -Board (Abbildung 11) synthetisiert werden. Dieses Board enthält einen Spartan III -FPGA (Field Programmable Gate Array) der Firma Xilinx. Konnektor A1 Konnektor A2 VGA FPGA RS232 SPARTAN III Konnektor B1 RS232 Leds LD 0 LD 7 Taster BT N 0 BT N 3 Sieben-Segment- Anzeige Schalter SW 0 SW 7 PS2 Abbildung 11: Spartan-3 Starter -Board Ein FPGA ist ein Hardwarebaustein, dessen Funktionalität durch (Re)Konfiguration geändert werden kann. FPGAs lassen sich beliebig oft rekonfigurieren. Neben dem Spartan-FPGA sind auf dem Board zusätzlich eine Sieben-Segment-Anzeige, 4 Taster, 8 Schalter, 8 Leuchtdioden, eine serielle RS232- und eine VGA-Schnittstelle sowie diverse IO-Pins (Konnektoren A1, A2 und B1) vorhanden. Zum Implementieren unseres Weckers werden die Sieben-Segment-Anzeige, die 4 Taster (BT N 0, BT N 1, BT N 2, BT N 3 ) und zwei weitere Schalter SW 6 und SW 7 verwendet. Ein externer Piepser wird über Konnector A1 an das FPGA-Board angeschlossen und dient als Alarm. Für die Taster/Schalter des Weckers bzw. des Testboards gilt folgende Abbildung: S 1 BT N 3, S 2 BT N 2, S 3 BT N 0, S 4 BT N 1, S 5 SW 7. Schalter SW 6 dient zum Rücksetzen des Weckers (reset). Zur Hardware-Synthese und anschließenden Konfiguration des FPGAs auf dem Spartan-3 Starter - Board starten Sie bitte die Xilinx ISE -Entwicklungsumgebung mittels des VHDL Synthese-Icons auf Ihrem Desktop. Führen Sie anschließend die in den Abbildungen dargestellten Schritte aus. c) Test Testen Sie nun die korekte Funktionsweise der Implementierung Ihres Weckers auf der FPGA- Plattform. Funktioniert alles korrekt? 14

15 Abbildung 12: Öffnen des vorgegebenen Wecker-Projektes in der Xilinx ISE -Umgebung. Abbildung 13: Start der Synthese. 15

16 Abbildung 14: Nach der Synthese wird aus der Entwicklungsumgebung das Werkzeug Impact zur Konfiguration des FPGA-Boards gestartet. Abbildung 15: Xilinx Impact: Automatische Erkennung des Datenübertragungskabels. 16

17 Abbildung 16: Xilinx Impact: Auswahl des generierten Bitfiles zur Konfiguration des Spartan- FPGAs (xc3s200). Auf dem Spartan-3 Starter -Board ist zusätzlich ein programmierbarer Flash- Speicherbaustein (xcf20s) vorhanden, welchen wir an dieser Stelle nicht benötigen. Klicken Sie deshalb auf Bypass, wenn Sie nach der Datei mit den Konfigurationsdaten für diesen Baustein gefragt werden. Abbildung 17: Xilinx Impact: Auswahl des Spartan-FPGAs zur Konfiguration. 17

18 Abbildung 18: Xilinx Impact: Überprüfen Sie die Konfigurationseinstellungen. Abbildung 19: Xilinx Impact: Das Spartan-FPGA wurde erfolgreich konfiguriert. 18

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Zweite praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Zweite praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik 12 Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Zweite praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, sich mit

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Labor Ausgabe 1.0, 09.01.2017 Autor: Klaus Gosger K. Gosger, 2016 / 2017 Skript 1/17 K. Gosger, 2016 / 2017 Skript 2/17 Inhaltsverzeichnis Versuch 1 - Schachuhr... 4 1.1 Konzeption

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2018 Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch 16.05.2018, 10:00 Uhr 1.1. Einführung In Übung 4 haben Sie einen Einzyklen-Datenpfad

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Entwurf digitaler Schaltungen

Entwurf digitaler Schaltungen rof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 30. April 2014 1/32 Entwurf digitaler Schaltungen Laborübung 2: VHDL und FPGAs Prof. G. Kemnitz Institut für Informatik, Technische

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal23. März 2018 1/33 Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal20. April 2017 1/33 Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Projekt Codeschloss 01. Juli 2010

Projekt Codeschloss 01. Juli 2010 Belegarbeit im Fach Entwurf integrierter Schaltungen und Systeme (SoS 2010) Projekt Codeschloss 01. Juli 2010 B.Eng. René Schwarz Matrikel-Nr. E-Mail: mail@rene-schwarz.com B.Eng. Ralph Steinert Matrikel-Nr.

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Manual Universaladapterboard für Spartan3_Board

Manual Universaladapterboard für Spartan3_Board Manual Universaladapterboard für Spartan3_Board Programmieranschluss Tastaturanschluss Mausanschluss Richtungs LEDs Logik Level LED Verbinder zum Spartan3_Board Manual_Universaladapterboard_deu.pdf 1/6

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für

Mehr

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag,

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag, Elektronik-Praktikum, Digitalteil Institut für Kernphysik Protokoll Projekt 2 Programmierbare Logik Intsar Bangwi Physik Bachelor bangwi@gmail.com Sven Köppel Physik Master koeppel@fias.uni-frankfurt.de

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese .9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abgabedatum: 25.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) Aufbau des Gesamtsystems amit ein funktionsfähiges

Mehr

Integrated HW/SW Systems Group IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Integrated HW/SW Systems Group   IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Simulation / VGA Ansteuerung 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 19 November 2009 1 Inhalt Simulation Erstellen einer Testbench Einrichten der Simulation Durchführen

Mehr

Übungsblatt 3 (10 Punkte)

Übungsblatt 3 (10 Punkte) ingo.korb [ ] tu-dortmund.de thomas.hess [ ] tu-dortmund.de niklas.ueter [ ] tu-dortmund.de Übung zur Vorlesung Eingebettete Systeme Wintersemester 15/16 Übungsblatt 3 (10 Punkte) Präsenzaufgaben zur Woche

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr