Grundlagen der Technischen Informatik

Größe: px
Ab Seite anzeigen:

Download "Grundlagen der Technischen Informatik"

Transkript

1 TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung: ALU, Ausgabe Multiplexer, (Ausgabe Dekoder) (1 Punkt) b) Geben Sie die Schnittstellenbeschreibung des Schaltungsblockes Zustandssteuerung in Form einer Entity in VHDL an. 1 e n t i t y z u s t a n d s s t e u e r u n g i s 3 port ( Listing 1: Beispiellösung zur Aufgabe b. 4 CLK : in s t d _ l o g i c ; Clock 5 RESET : in s t d _ l o g i c ; R e s t e t 6 BTN_1 : in s t d _ l o g i c ; B u t t o n 1 7 BTN_ : in s t d _ l o g i c ; B u t t o n 8 BTN_PLUS : in s t d _ l o g i c ; B u t t o n + 9 BTN_MINUS : in s t d _ l o g i c ; B u t t o n 1 BTN_EQUAL : in s t d _ l o g i c ; B u t t o n = 11 EDGEDETECT: in s t d _ l o g i c ; Edge d e t e c t i o n 1 STATE_EO1 : out s t d _ l o g i c ; S t a t e EO1 13 STATE_EO : out s t d _ l o g i c ; S t a t e EO 14 STATE_AE : out s t d _ l o g i c S t a t e AE 15 ) ; end z u s t a n d s s t e u e r u n g ; c) Geben Sie eine Implementierung des Schaltungsblockes Zustandssteuerung in Form einer VHDL Architecture-Beschreibung an. (Die Verwendung von IEEE-Libraries kann weggelassen werden.) (6 Punkte) 1 a r c h i t e c t u r e zs1 of z u s t a n d s s t e u e r u n g i s Listing : Beispiellösung zur Aufgabe c. 3 TYPE t e _ s t a t e IS ( EO1, EO, AE) ; 4 s i g n a l s e _ s t a t e : t e _ s t a t e ; S t a t e 5 6 begin z s 1 7 1

2 8 purpose : S t a t e machine t o c o n t r o l t h e b i n a r y C a l c u l a t o r 9 t y p e : s e q u e n t i a l 1 i n p u t s : CLK, RESET 11 pfsm : p r o c e s s (CLK) 1 begin p r o c e s s pfsm 13 i f CLK e v e n t and CLK = 1 then r i s i n g c l o c k edge 14 i f RESET = 1 then s y n c h r o n o u s r e s e t ( a c t i v e high ) 15 s e _ s t a t e <= E1 ; 16 e l s e 17 i f EDGEDETECT = 1 then 18 c ase s e _ s t a t e i s 19 when EO1 => i f BTN_PLUS = 1 or BTN_MINUS = 1 then 1 s e _ s t a t e <= EO ; end i f ; 3 when EO => 4 i f BTN_PLUS = 1 or BTN_MINUS = 1 or BTN_EQUAL = 1 then 5 s e _ s t a t e <= AE; 6 end i f ; 7 when AE => 8 i f BTN_1 = 1 or BTN_ = 1 then 9 s e _ s t a t e <= EO1 ; 3 end i f ; 31 when o t h e r s => n u l l ; 3 end case ; 33 end i f ; 34 end i f ; 35 end i f ; 36 end p r o c e s s pfsm ; STATE_EO1 <= 1 when s e _ s t a t e = EO1 e l s e ; 39 STATE_EO <= 1 when s e _ s t a t e = EO e l s e ; 4 STATE_AE <= 1 when s e _ s t a t e = AE e l s e ; 41 4 end zs1 ; d) Geben Sie die Schnittstellenbeschreibung des Schaltungsblockes ALU in Form einer Entity in VHDL an. ( Punkte) 1 e n t i t y a l u i s port ( Listing 3: Beispiellösung zur Aufgabe d. 3 OPERAND1 : in s t d _ l o g i c _ v e c t o r (15 downto ) ; Operand 1 4 OPERAND : in s t d _ l o g i c _ v e c t o r (15 downto ) ; Operand 5 OPERATOR : in s t d _ l o g i c ; Operator + 6 RESULT : out s t d _ l o g i c _ v e c t o r (15 downto ) E r g e b n i s 7 ) ; 8 end a l u ; e) Geben Sie eine Implementierung des Schaltungsblockes ALU in Form einer VHDL Architecture-Beschreibung an. (Die Verwendung von IEEE-Libraries kann weggelassen werden.)

3 1 a r c h i t e c t u r e a l u 1 of a l u i s 3 begin alu1 4 Listing 4: Beispiellösung zur Aufgabe e. 5 RESULT <= OPERAND1 + OPERAND when OPERATOR = 1 e l s e 6 OPERAND1 OPERAND; 7 end a l u 1 ; f) Zeichnen Sie in Abbildung?? die Eingänge, sowie die Signale zu den Eingängen des Ausgabe Mulitplexers ein, damit die LED-Anzeige im Zustand EO1 den Operanden 1, im Zustand EO den Operanden und im Zustand AE das Ergebnis anzeigt. (1 Punkt) Lösung: siehe Abbildung?? Tasten = Flankenerkennung Operand 1 Register Operand Register Operator Register Ausgaberegister Zustandssteuerung EO1 EO AE ALU Ausgabe Multiplexer Ausgabe Dekoder Abbildung 1: Architektur des binären Taschenrechners. g) Geben Sie eine Implementierung des Schaltungsblockes Ausgabe Mulitplexers in Form einer VHDL Architecture-Beschreibung an. (Die Verwendung von IEEE-Libraries kann weggelassen werden.) 1 a r c h i t e c t u r e mux1 of A u s g a b e M u l t i p l e x e r i s 3 begin mux1 4 Listing 5: Beispiellösung zur Aufgabe g. 5 RESULT <= OPERAND1 when STATE_EO1 = 1 e l s e 6 OPERAND when STATE_E = 1 e l s e 7 AUSGABE when STATE_AE = 1 e l s e 8 (RESULT range => ) ; 9 end mux1 ; h) Warum benötigt man den Schaltungsblock Flankenerkennung? (1 Punkt) 3

4 Lösung: Ohne Flankenerkennung würde das Drücken einer Taste nicht als einmaliges Ereignis erkannt werden, sondern als mehrere Ereignisse hintereinander, da die globale Taktfrequenz um ein vielfaches höher ist als die menschliche Reaktion. (15 Punkte) Aufgabe (Automaten) Bei der Herstellung von Prozessoren wird jeder fertige Prozessor einem Test unterzogen, der durch einen Testautomaten durchgeführt wird. Im Folgenden sollen Sie die Ansteuerung dieses Testautomaten entwickeln. Der Testautomat kann Prozessoren wie folgt klassifizieren und das Ergebnis ausgeben: Ausschuss (A), 1. Wahl (1W) und. Wahl (W). Weiterhin gibt es eine Ausgabe, die einen Fehler beim Einlegen (ERR) anzeigt. Die Steuerung des Testautomaten nimmt vom eigentlichen Testautomaten die Eingaben fehlerhaft (F) und korrekt (K) entgegen. Die Steuerung des Testautomaten kann weiterhin eine Eingabe des Benutzers zum Reset (R) im Falle eine Einlegefehlers akzeptieren. Nachfolgend finden Sie zwei Tabellen mit den Kodierungen der Eingaben und Ausgaben durch die binären Zustandsvariablen i und i 1 bzw. o und o 1 Eingabe i 1 i F K 1 R 1 Eingabe des Automaten Ausgabe o 1 o A 1W 1 W 1 ERR 1 1 Ausgabe des Automaten a) Im Folgenden sollen zwei Automaten entwickelt werden. Die Spezifikation lautet wie folgt: Der Anfangszustand des Automaten ist bereit. Kommt es bereits beim Einlegen des Prozessors zu einem Fehler (F), geht der Automat vom Zustand bereit in den Fehlerzustand fehler über, gibt das Fehlersignal aus und verhaart dort, bis der Testautomat vom Benutzer zurückgesetzt wird. Andernfalls (K) beginnt der Automat mit einem strukturellen Test des Prozessors. Ist das Testergebnis fehlerhaft, ist der Prozessor als Ausschuss klassifiziert und der Automat geht in den Zustand bereit zurück. Ist das Ergebnis korrekt folgt ein ausführlicher funktionaler Test. Ist dessen Ergebnis korrekt, so ist der Prozessor als 1. Wahl klassifiziert. Ist das Ergebnis hingegen fehlerhaft, so wird ein weiterer funktionaler Test durchgeführt. Ist dessen Ergebnis korrekt, so ist der Prozessor als. Wahl klassifiziert. Andernfalls ist der Prozessor Ausschuss. (Hinweis: Die eigentlichen Tests (strukturell und funktional) erfolgen durch andere Einheiten im Testautomten und nicht Teil der von Ihnen zu entwerfenden Steuerung. Ihre Steuerung nimmt lediglich deren Testergebnisse als Eingaben entgegen.) i) Modellieren Sie den Automaten als Automatengraphen eines Moore-Automaten unter Verwendung von maximal 8 Zuständen. (4 Punkte) ii) Modellieren Sie den Automaten als Automatengraphen eines Mealy-Automaten unter Verwendung von maximal 5 Zuständen. (4 Punkte) b) Im Folgenden soll die Zustandsübergangstabelle inklusive der drei zur Realisierung der Ansteuerfunktion verwendeten, taktflankengesteuerten T-Flipflops für den von Ihnen entwickelten Mealy-Automaten aus Aufgabenteil a.ii) aufgestellt werden. Vervollständigen Sie die nachfolgende Zustandsübergangstabelle inklusive der Ansteuerfunktion. (Hinweis: Nicht spezifizierte Eingaben werden ignoriert.) (4 Punkte) 4

5 fehler ERR R bereit F Strukt. Test K Funk. Test 1 F Funk. Test F K A F K KW 1W W fehler R bereit FERR Strukt. Test Funk. Test 1 K- F- Funk. Test FA K1W KW FA c) Entwickeln Sie eine disjunktive Minimalform (DMF) der Ansteuerfunktion des T-FlipFlops T 1 unter Verwendung des gegebenen Symmetriediagrammes und tragen Sie ihre Lösung hier ein: Achten Sie auf Don t-cares, sowie die vorgegebene Variablenordnung! 5

6 Zustandsname Aktueller Zustand Eingabe Nachfolgezustand Ansteuerfunktion q q 1 q i 1 i q q 1 q T T 1 T bereit 1 1 bereit bereit 1 bereit 1 1 strukt. 1 1 strukt strukt strukt funkt funkt funkt funkt funkt funkt funkt funkt fehler 1 1 fehler fehler fehler i 1 q q 1 q i q Aufgabe 3 (Arithmetik) (15 Punkte) a) Eine l Bit lange Binärzahl sei im Zweierkomplement kodiert. Geben Sie eine Formel an, um deren Wert als Dezimalzahl zu ermitteln. (1 Punkt) Lösung: s = ( r l 1 ) l 1 + l i= r i i b) Definieren Sie das Prädikat > (größer) für vorzeichenlose Zahlen in Binärdarstellung. Gehen Sie hierbei von Zahlen gleicher Länge m aus. ( Punkte) Lösung: (A m 1,..., A ) > (B m 1,..., B ) j : A j > B j i > ja i = B i 6

7 c) Geben Sie weiterhin die grundlegende Rekursion für das Prädikat > (größer) an. Lösung: k,1 k m 1 : (A m 1,..., A ) > (B m 1,..., B ) (A l 1,..., A k ) > (B l 1,..., B k ) (A l 1,..., A k ) = (B l 1,..., B k ) (A k 1,..., A ) > (B k 1,..., B ) d) Unten ist ein Komparator dargestellt, welcher bei Eingabe von zwei Bits a und b entscheiden kann, ob a > b oder a = b gilt. Das Ergebnis des Vergleichs wird durch ein Bit am jeweils zutreffenden Ausgang angezeigt. Entwerfen Sie die technische Realisierung des Komparators unter Zuhilfenahme der Ihnen bekannten logischen Gatter. Woran lässt sich der Fall a < b erkennen? Lösung: Beide Ausgänge zeigen das -Signal. a b K > = a a > b a = b b > = Abbildung : 1-Bit Komparator. e) Gegeben sind die beiden im Zweierkomplement kodierten Binärzahlen a = und b = Demonstrieren Sie ein Ihnen bekanntes Verfahren zur Division von Binärzahlen, indem sie die Zahl a durch die Zahl b teilen und die einzelnen Rechenschritte explizit angeben. Lösung:Q = 111, R = 1111 f) Zur Verbindung mehrerer Baugruppen über einen Bus bietet sich neben der Open-Collector- Schaltung auch die Verwendung eines Tri-State-Ausgangs als Verbindung der Baugruppe zum 7

8 Bus an. Erklären Sie die Funktionsweise eines Tri-State-Ausgangs. Welche Bedingungen müssen gelten, um die einwandfreie Funktion des Busses sicherzustellen, und wie wird dies typischerweise realisiert? Lösung: Durch einen zusätzlichen Schalter wird der Ausgang einer Baugruppe auf hochohmig geschaltet um den Bus nicht zu beeinflussen. Zu keiner Zeit dürfen Baugruppen gleichzeitig am Bus hängen da sonst die auf dem Bus befindliche Information verfälscht werden würde; es darf also immer nur ein Schalter aktiviert sein. Dies wird typischerweise durch den Arbiter sichergestellt. 8

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Arithmetik VHDL - Funktionen

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 20.2.2001 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) 1.1 Gleitkommazahlen: Gegeben sei eine 8-bit Gleitkommazahl-Darstellung

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

GTI ÜBUNG 11 AUTOMATEN

GTI ÜBUNG 11 AUTOMATEN 1 GTI ÜBUNG 11 AUTOMATEN Aufgabe 1 Automaten 2 Beschreibung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armbanduhr, der eines von vier internen Registern auf dem Display

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik 12 Cauerstraße 11 91058 Erlangen TECHNICHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (NAND-chalterfunktion) Es soll ein NAND-Gatter

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. r. Franz J. Rammig Paderborn, 7..22.Böke,P.hivukula Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" ommersemester 22. Teil: GTI er erste Teil

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur Grundlagen der Technischen Informatik Seite: 1 von 11 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Rechnergrundlagen SS Vorlesung

Rechnergrundlagen SS Vorlesung Rechnergrundlagen SS 2007 8. Vorlesung Inhalt Gleitkomma-Darstellung Normalisierte Darstellung Denormalisierte Darstellung Rechnerarchitekturen Von Neumann-Architektur Harvard-Architektur Rechenwerk (ALU)

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur Universität Koblenz-Landau Übungen zur Vorlesung Grundlagen der Rechnerarchitektur - Sommersemester 2018 - Übungsblatt 2 Abgabe bis Montag, 28. Mai 2018, 23:59 Uhr als pdf via SVN Punkte Kürzel A1 (10)

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

IHS2 Seminar. Simulation. Steffen Ostendorff

IHS2 Seminar. Simulation. Steffen Ostendorff Simulation Steffen Ostendorff BlockM, R602, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 06 December 2010 Self-Organization 08 December 2010 1 Inhalt des Seminars

Mehr

VU Grundlagen digitaler Systeme

VU Grundlagen digitaler Systeme VU Grundlagen digitaler Systeme Übung 4. Übung 183.580, 2014W Übungsgruppen: Fr., 05.12.2014 Hinweis: Verwenden Sie für Ihre Lösungen keinen Taschenrechner und geben Sie die einzelnen Lösungsschritte an,

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn,.3. C. Böke, C. Ditze Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Wintersemester 999/. Teil: GTI Der erste

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

Rechnerstrukturen. 3. Elementare Bausteine. Inhalt. Vorlesung Rechnerstrukturen. Latches und Register. Decoder. Multiplexer.

Rechnerstrukturen. 3. Elementare Bausteine. Inhalt. Vorlesung Rechnerstrukturen. Latches und Register. Decoder. Multiplexer. Rechnerstrukturen 3. Elementare Bausteine Latches und Register Decoder Inhalt Multiplexer Speicher Arithmetische Einheiten Endliche Automaten 3.2 1 Elementare Bausteine Häufig verwendete Grundfunktionen

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik 1 Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 11. November 2013 Besprechung: Übungsstunden in der Woche 47 (18.11. - 22.11.2013) 1) Normal- und Minimalformen Communication

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2 Sommersemester 2 Übung und Seminar zur Vorlesung Grundlagen der Technischen Informatik 2 5. Aufgabenkomplex 9.6.29 Johannisgasse 26 43 Leipzig Telefon: +49 (34) 97-3223 Telefax: +49 (34) 97-32252 . Aufgabe.

Mehr