, SS2012 Übungsgruppen: Do., Mi.,

Größe: px
Ab Seite anzeigen:

Download ", SS2012 Übungsgruppen: Do., Mi.,"

Transkript

1 VU Technische Grundlagen der Informatik Übung 4: Schaltwerke , SS202 Übungsgruppen: Do., Mi., ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung, die eine 4 it lange inärzahl (x 3 x 2 x x 0 ) 2 von der Darstellung durch Vorzeichen und etrag in die Zweierkomplementdarstellung (y 3 y 2 y y 0 ) 2 umwandelt. x 0 bzw. y 0 bezeichnet das least significant bit (lsb), x 3 bzw. y 3 das most significant bit (msb). eispiele (bereits im Speicher eingetragen): Die Zahl (+) 0 wird von der Darstellung durch Vorzeichen und etrag (x 3 x 2 x x 0 ) 2 = (0) 2 in die Zweierkomplementdarstellung (y 3 y 2 y y 0 ) 2 = (0) 2 umgewandelt. Die Zahl ( ) 0 wird von der Darstellung durch Vorzeichen und etrag (x 3 x 2 x x 0 ) 2 = () 2 in die Zweierkomplementdarstellung (y 3 y 2 y y 0 ) 2 = () 2 umgewandelt. Realisieren Sie die Schaltung mit dem nachfolgenden 6 4 Tabellenspeicher indem Sie den Inhalt des Speichers (Spalten D 3 bis D 0 ) entsprechend belegen. eschriften Sie die Ein-/usgänge mit x 3... x 0 bzw. y 3... y 0 gemäß ihrer Zuordnung zu den Speicherleitungen bzw. D 3... D 0. Hinweis: Es handelt sich hier um einen konzeptionellen Tabellenspeicher, die konkrete Realisierung könnte beispielsweise mit einem ROM (vgl. Foliensatz 5, Folien 3f. bzw. Foliensatz 8, Folien 6ff.) erfolgen D 3 D 2 D D D 0 D D 2 D 3

2 ufgabe 2: Zustandsgraph Wahr oder falsch? Es ist folgender Zustandsgraph für ein Schaltwerk mit den Eingängen X und X 2 sowie dem usgang Y gegeben: 0, Es gilt die folgende Notation: Zustand X X 2 Y Welche ussagen treffen zu? egründen Sie Ihre ntwort! () Der Zustandsgraph ist vollständig. richtig falsch (2) Der dargestellte utomat ist nicht deterministisch. richtig falsch (3) Dem dargestellten Zustandsgraphen liegt ein Moore-Schaltwerk zugrunde. richtig falsch (4) In Zustand erfolgt die usgabe. richtig falsch (5) Nach einem Reset startet der utomat im Zustand. richtig falsch (6) Liegt an beiden Eingängen mindestens zwei Takte lang an, befindet sich das richtig falsch Schaltwerk auf jeden Fall in Zustand 0. (7) Damit das Schaltwerk startet, muss an allen Eingängen 0 anliegen. richtig falsch ufgabe 3: Zustandsgraph Mealy vs. Moore Es ist ein synchrones Schaltwerk mit einem Eingang und einem usgang zu entwerfen, das eine beliebig lange itfolge einliest. m usgang soll jeweils logisch ausgegeben werden, wenn die bisher gelesene nzahl der en gerade war, anderenfalls soll logisch 0 ausgegeben werden. eispiel: Eingang = 00 usgang (Mealy) = 0 usgang (Moore) = 0 a) Zeichnen Sie den Zustandsgraphen eines entsprechenden Mealy-Schaltwerks. b) Zeichnen Sie den Zustandsgraphen eines entsprechenden Moore-Schaltwerks.

3 ufgabe 4: Mealy-Schaltwerk Der folgende Zustandsgraph eines Schaltwerks ist gegeben: / 0/ / 0/ S 0 2,0,/0,0,0/0,0,/0 0,0,/0 Es gilt die folgende Notation: Zustand E 0 E / a) nalysieren und erklären Sie die Funktion dieses Schaltwerks. b) Erstellen Sie für dieses Schaltwerk eine Tabelle der Zustandsübergänge. enutzen Sie dafür die nachfolgend angegebene dichte Zustandscodierung: P Q Zustand 0 0 S E E P Q S 0 2 D P D Q ufgabe 5: Mealy-Moore-Transformation Transformieren Sie das Mealy-Schaltwerk aus eispiel 4 in ein Moore-Schaltwerk. Verwenden Sie dabei die folgende Notation für die Zustände und Zustandsübergänge des Moore-utomaten: Zustand E 0 E

4 ufgabe 6: Timing Es ist folgende Schaltung gegeben: D Q = D Q 2 Takt Überlegen Sie sich die Funktionsweise der Schaltung und vervollständigen Sie das nachfolgende Timing- Diagramm. Gehen Sie von negativer Flankentriggerung aus. Takt Q Q 2 Takt ufgabe 7: Maximale Taktfrequenz Q Gegeben ist das Schaltwerk aus ufgabe 6. Q 2 a) estimmen Sie die Komponenten von Übergangs- und usgangsfunktion der Schaltung. Welche Funktion muss bei der erechnung der maximalen Taktfrequenz berücksichtigt werden? b) erechnen Sie die maximale Taktfrequenz für das Schaltwerk, wobei die folgenden ngaben gelten: Gatterlaufzeit NOT: Gatterlaufzeit ND, OR, XOR: Durchlaufzeit D-Latch: Vorbereitungszeit D-Latch: Haltezeit D-Latch: Maximale Taktfrequenz D-Latch: 0 ns 5 ns 50 ns 5 ns 3 ns 0 MHz

5 ufgabe 8: Realisierung einer Hysteresefunktion Konstruieren Sie ein Moore-Schaltwerk mit drei Eingangsvariablen e, e 2 und e 3 und der usgangsvariablen z. Die Eingangsvariablen werden als dreistellige inärzahl n im ereich (mit e als msb) interpretiert. Das Schaltwerk soll, gesteuert durch den Eingangswert n, die folgende Schaltfunktion realisieren: z n Ist der usgang 0, soll er im nächsten Schritt auf wechseln, falls 3 n 4 erfüllt ist. Sonst soll der usgang unverändert bleiben. Ist der usgang, soll er im nächsten Schritt auf 0 wechseln, sofern die edingung n oder n 6 erfüllt ist. nderenfalls soll der usgang unverändert bleiben. a) Entwerfen Sie einen Moore-utomaten, der die oben beschriebene ufgabe löst. b) Erstellen Sie die Tabelle der Zustandsübergänge (vgl. Lehrbuch Einführung in die Technische Informatik, S. 2). Verwenden Sie dabei eine dichte Zustandscodierung. Geben Sie die usgabefunktion an und ermitteln Sie mittels KV-Diagramm die vereinfachte Übergangsfunktion. c) Konstruieren Sie die zugehörige Schaltung. Tragen Sie hierzu die Übergangsfunktion in das nachfolgende PL ein und ergänzen Sie Latch(es) sowie usgangsfunktion im Freiraum rund um das PL: e e 2 e 3 & & & & >

6 ufgabe 9: Zustandsgraph eines Mealy-Schaltwerks Zeichnen Sie den Zustandsgraphen eines Mealy-Schaltwerks, das der nachfolgenden eschreibung entspricht. Verwenden Sie die folgende Notation: Zustand E / 2 0 Das Schaltwerk soll in bhängigkeit von einem binären Eingang E die Zahlenfolgen 0 bis 3 bzw. 0 bis 3 als 3 it lange Zweierkomplementzahl ( 2 0, mit 0 als lsb) ausgeben: Wenn der Eingang 0 ist, sollen der Reihe nach die Zahlen ausgegeben werden. Wenn 3 erreicht ist, soll die Sequenz im nächsten Schritt wieder bei 0 beginnen. Ist der Eingang, sollen die Zahlen ausgegeben werden. Sobald 3 erreicht ist, soll die Sequenz im nächsten Schritt wieder bei 0 beginnen. Wenn sich der Eingang ändert, soll unmittelbar in die andere Sequenz gewechselt werden (siehe eispiel). Vom zustand ausgehend soll die Zahl 0 ausgegeben werden. eispiel: 0 E= E=0 2 E=0 3 E=0 0 E= E= 2 E= 3 E= 0 E=0 E= ufgabe 0: Schaltwerksentwicklung Kaffeemaschine / Teil Entwerfen Sie ein Moore-Schaltwerk für die getaktete Steuerung einer Kaffeemaschine, die folgendermaßen funktionieren soll: Zustandsübergänge erfolgen ausschließlich zum Takt. Nach dem Einschalten befindet sich die Kaffeemaschine solange im Zustand Standby, bis die -Taste gedrückt wird. Die Kaffeemaschine wechselt dann in den Zustand Heizen und beginnt Wasser zu erhitzen. Danach wechselt die Maschine in den Zustand Zubereiten. Wurde der Kaffee erfolgreich zubereitet, kehrt die Kaffeemaschine in den Standby -Modus zurück. Die Kaffeemaschine verfügt über Sensoren zur Erkennung technischer Fehler. Tritt ein technischer Fehler auf, wechselt der utomat unverzüglich in den Zustand Fehler und verharrt dort, bis der Fehler beseitigt ist. Das ist z.. der Fall, wenn der Wassertank leer ist, ein Defekt im Heizsystem erkannt wird oder der Filter verstopft ist. Wurde der Fehler behoben, kehrt die Kaffeemaschine wieder in den Zustand Standby zurück. Ein etätigen der -Taste in den Zuständen Heizen, Zubereiten oder Fehler hat keine uswirkungen und wird ignoriert. Zwei Eingangssignale stehen zur Verfügung: m Signal OK liegt bei Vorliegen eines Fehlers logisch an. Das Signal liefert den Zustand der -Taste. Logisch bedeutet, dass die -Taste gedrückt wurde. usgangsseitig werden 2 LEDs angesteuert: Solange kein Fehler auftritt, soll eine grüne LED aufleuchten. Tritt ein Fehler auf, eine rote. Eine LED leuchtet auf, wenn das zugehörige Steuersignal den Wert logisch annimmt. Verwenden Sie folgende Zustandscodierung: Zustand Z Z 0 Standby Heizen 0 Zubereiten 0 Fehler a) Geben Sie den resultierenden Zustandsgraph unter ngabe Ihrer verwendeten Notation an. b) efüllen Sie nachfolgende Wahrheitstabelle ( nächste Seite) für Übergangs- und usgangsfunktion. Hinweis: Sofern Sie Don t ares verwenden, werden möglicherweise nicht alle Zeilen benötigt!

7 Zustand Eingänge Übergangsfunktion usgangsfunktion Z Z 0 OK D Z D Z0 rote LED grüne LED c) Vereinfachen Sie die Übergangsfunktion mit Hilfe der nachfolgenden KV-Diagramme. Wie lautet die minimierte Übergangsfunktion in konjunktiver Form? D Z : D Z0 : Z Z Z Z 0 Z 0 Z 0 {}}{ Z Z Z Z 0 Z 0 Z 0 {}}{ } {{ }} {{ } OK OK } {{ }} {{ } OK OK

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

VU Grundlagen digitaler Systeme

VU Grundlagen digitaler Systeme VU Grundlagen digitaler Systeme Übung 4. Übung 183.580, 2014W Übungsgruppen: Fr., 05.12.2014 Hinweis: Verwenden Sie für Ihre Lösungen keinen Taschenrechner und geben Sie die einzelnen Lösungsschritte an,

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2 NVERSTÄT LEPZG nstitut für nformatik Prüfungsaufgaben Klausur Wintersemester 000/001 bt. Technische nformatik Prof. Dr. do Kebschull Dr. Paul Herrmann Dr. Hans-Joachim Lieske Datum: 05. Oktober 000 hrzeit:

Mehr

>1 Q. (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.)

>1 Q. (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.) (Prüfungs-)ufgaben zu Schaltwerken 1) etrachten Sie das folgende Schaltwerk: (Das Schaltwerk habe außerdem einen Triggereingang, der aber der Einfachheit halber weggelassen wurde.) a) nalysieren Sie das

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Beispiele sequentieller Schaltungen

Beispiele sequentieller Schaltungen eispiele sequentieller Schaltungen Synchroner 3-stelliger inärzähler: Schieberegister mit serieller un paralleler Ein-/usgabe: Technische Informatik I, SS 2. Strey, Universität Ulm Kapitel : Sequentielle

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops 6.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus Mögliche eines dreistelligen Binärzählers 000 111 001 110 010 Übergänge pro Takt unbedingte Übergänge 101 011 6.3

Mehr

Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende "1"-Werte erkannt werden sollen.

Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende 1-Werte erkannt werden sollen. Prof. r.-ing.. Schubert igitaltechnik II ufgabe 6.: ntwerfen Sie einen Sequenzdetektor als Mealy-utomat für eine Sequenz, bei der drei aufeinanderfolgende ""-Werte erkannt werden sollen. X SQ Y a) Zeichnen

Mehr

Einführung in die Technische Informatik

Einführung in die Technische Informatik Einführung in die Technische Informatik Prüfungsordner zum 1. Test 11. April 2003, Gruppe A Datum: 5. Mai 2005 Erstellt mit L A TEX Punkteverteilung (insgesamt 50 Punkte): 1 2 3 4 5 6 7 8 9 10 11 12 13

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9

Inhalt. Lektion 13: Mini-Digitaltechnik 13. MINI-DIGITALTECHNIK 9 Inhalt 13. MINI-DIGITLTECHNIK 9 13.1 Logische Verknüpfungen 9 13.1.1 ND-Verknüpfung 9 13.1.2 ufstellung einer Wahrheitstabelle 10 13.1.3 ND-Verknüpfung mit Schalter 11 13.1.4 OR-Verknüpfung 13 13.1.5 NOT-Verknüpfung

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): Lösung Nachklausur: DT II, am 22..5 ufgabe, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b)

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 20.2.2001 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) 1.1 Gleitkommazahlen: Gegeben sei eine 8-bit Gleitkommazahl-Darstellung

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik. Aufgabe Gruppenschaltung elektronischer Bauelmente

Institut für Informatik. Aufgaben zum Seminar Technische Informatik. Aufgabe Gruppenschaltung elektronischer Bauelmente UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - Gruppenschaltung elektronischer auelmente Gegeben

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

(Prüfungs-)Aufgaben zu Schaltnetzen

(Prüfungs-)Aufgaben zu Schaltnetzen (Prüfungs-)Aufgaben zu Schaltnetzen 1) Gegeben sei die binäre Funktion f(a,b,c,d) durch folgende Wertetabelle: a b c d f(a,b,c,d) 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 a) Geben Sie die disjunktive Normalform

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 nmerkungen zu den ufgabenstellungen, Lösungen und ewertungen ies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik

DIGITALE SYSTEME BBGL. W.-ING. TEIL 4 Fakultät für Elektrotechnik Schaltwerke ls Schaltwerke bezeichnet man Logikschaltungen mit Speicher, die interne Zustände behalten können. Besitzt der Speicher neben den statischen ateneingängen noch einen dynamischen Eingang, der

Mehr

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur Universität Koblenz-Landau Übungen zur Vorlesung Grundlagen der Rechnerarchitektur - Sommersemester 2018 - Übungsblatt 2 Abgabe bis Montag, 28. Mai 2018, 23:59 Uhr als pdf via SVN Punkte Kürzel A1 (10)

Mehr

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Informatik Gierhardt Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Algebra Der englische Mathematiker George Boole (1815-1864) entwickelte in seinem Buch The Laws of Thought zur systematischen

Mehr

10. Schaltungssynthese

10. Schaltungssynthese Bei der Schaltungssynthese soll ausgehend von einer Funktionsbeschreibung die Wahrheitstabelle, die Funktionsgleichung sowie eine mögliche Schaltung erstellt werden. Die Schaltungssynthese ist also die

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.5.1. - ddition und Subtraktion mittels eines binären 4 it

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 7. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 7.1: Schritt-Steuerwerk in Verilog Das in der Vorlesung

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

c) Wann erfolgt eine Transition (von einem Zustand in einen anderen)?

c) Wann erfolgt eine Transition (von einem Zustand in einen anderen)? VU Objektorientierte Modellierung Übung 4: Zustandsdiagramm Übungsgruppen: 15.5.2008-21.5.2008 Aufgabe 1: Theoriefragen 1 Beantworten Sie folgende Fragen: a) Erklären Sie die Konepte Ereignis, Bedingung

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

Herbstsemester 6 5. Übung zur Vorlesung igitaltechnik Musterlösung Übung 5 ufgabe a) arstellung der negativen Tahlen im Zweierkomplement: nschliessende erechnung: 7 : 7 = 7 = + = 2 : 2 = 2 = + = 4 : 4

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

GTI ÜBUNG 11 AUTOMATEN

GTI ÜBUNG 11 AUTOMATEN 1 GTI ÜBUNG 11 AUTOMATEN Aufgabe 1 Automaten 2 Beschreibung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armbanduhr, der eines von vier internen Registern auf dem Display

Mehr

Einführung in die Informatik

Einführung in die Informatik Universität Innsbruck - Institut für Informatik Datenbanken und Informationssysteme Prof. Günther Specht, Eva Zangerle 24. November 28 Einführung in die Informatik Übung 7 Allgemeines Für die Abgabe der

Mehr

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I Musterlösungen zu den Aufgaben der Nachklausur zum Kurs 7 Grundlagen der Technischen Informatik und Kurs 77 Technische Informatik I im Sommersemester 2 vom 6.9.2 Zu Aufgabe : Schaltnetz analysieren a)

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 7: Speichermanagement 183.579, 2014W Übungsgruppen: Mo., 12.01. Mi., 14.01.2015 Aufgabe 1: Cache-Adressierung Ein Prozessor mit einer Adresslänge von 20 Bit

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Analyse logischer Schaltnetze

Analyse logischer Schaltnetze 2003, Thomas armetler Kippstufen und ähler nalyse logischer Schaltnetze nalyse logischer Schaltnetze eim usammenwirken von mindestens zwei logischen Grundschaltungen spricht man auch von einem logischen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2 Sommersemester 2 Übung und Seminar zur Vorlesung Grundlagen der Technischen Informatik 2 5. Aufgabenkomplex 9.6.29 Johannisgasse 26 43 Leipzig Telefon: +49 (34) 97-3223 Telefax: +49 (34) 97-32252 . Aufgabe.

Mehr

Zahlensysteme und Kodes. Prof. Metzler

Zahlensysteme und Kodes. Prof. Metzler Zahlensysteme und Kodes 1 Zahlensysteme und Kodes Alle üblichen Zahlensysteme sind sogenannte Stellenwert-Systeme, bei denen jede Stelle innerhalb einer Zahl ein besonderer Vervielfachungsfaktor in Form

Mehr

SCHALTWERKE (State Machine)

SCHALTWERKE (State Machine) EDT-REFERAT SCHALTWERKE (State Machine) 1999/2000 2ANA Bernhard Schierer 1 Inhaltsverzeichnis: 1. Allgemeine Beschreibung von Schaltwerken 2. Systematischer Entwurf von Schaltwerken -Zustandsdiagramm -Entwurfsbeispiel

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Arduino Kurs Bits und Bytes. Stephan Laage-Witt FES Lörrach

Arduino Kurs Bits und Bytes. Stephan Laage-Witt FES Lörrach Arduino Kurs Bits und Bytes Stephan Laage-Witt FES Lörrach - 2018 Themen Digitale Eingabe Bit, Byte und Wort Reaktionszeittester FES Lörrach Juli 2017 2 Was ist ein Bit? Ein Bit ist die kleinste Informationseinheit

Mehr

Lösungen Übungsblatt 1 (Mengenlehre)

Lösungen Übungsblatt 1 (Mengenlehre) Fachhochschule Nordwestschweiz (FHNW) Hochschule für Technik Institut für Mathematik- und Naturwissenschaft Lösungen Übungsblatt 1 (Mengenlehre) Roger urkhardt 17 Mathematik 1 1. ufgabe egeben seien die

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

Digitallabor Aufgabe 5.4 Lauflicht

Digitallabor Aufgabe 5.4 Lauflicht Digitallabor Aufgabe 5.4 Lauflicht Teilnehmer: Natalia Springer, Tong Cha 5.4.1 Lauflicht Aufgabenstellung Aus den Dioden D1..D8 ist ein Lauflicht zu erstellen. Es soll eine Diode leuchten. Bei jeder positiven

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 3 Sequentielle

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik

Elektronikpraktikum. 9 Logische Gatter. Hinweise: Fachbereich Physik Fachbereich Physik Elektronikpraktikum 9 Logische Gatter Stichworte zur Vorbereitung: Logische Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem,

Mehr

Übungen zur Vorlesung Modellierung WS 2003/2004 Blatt 11 Musterlösungen

Übungen zur Vorlesung Modellierung WS 2003/2004 Blatt 11 Musterlösungen Dr. Theo Lettmann Paderborn, den 9. Januar 24 Abgabe 9. Januar 24 Übungen zur Vorlesung Modellierung WS 23/24 Blatt Musterlösungen AUFGABE 7 : Es sei der folgende partielle deterministische endliche Automat

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur Grundlagen der Technischen Informatik Seite: 1 von 11 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität 5. Sequentielle Schaltungen Automaten 5. Die Grundform endlicher Automaten 5.2 Automaten mit Ausgabe 5.3 Darstellungsformen endlicher Automaten 5.4 Beispiele endlicher Automaten 5.5 Eigenschaften endlicher

Mehr