Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren

Größe: px
Ab Seite anzeigen:

Download "Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren"

Transkript

1 Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 7. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 7.1: Schritt-Steuerwerk in Verilog Das in der Vorlesung (Kapitel 4, Folie 20) angegebene Verilog-Programm ist zu vervollständigen: module stw(clk,, x2, x3, y1, y2); input clk,, x2, x3; output y1, y2; reg [3:0] z; // Zustsregister sync initial z = 4 b0001; assign y1 = z[0] & z[1] z[2] & x2; a) assign y2 = z[1] z[2] & ~x3 z[3]; clk) z[0] <= z[0] & ~ z[3] & (~ ~x3); z[1] <= z[0] & ; b) z[2] <= z[1] & x2 z[3] & & x3; c) z[3] <= z[2] z[1] & ~x2; Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren Gegeben ist ein MP-Steuerwerk, ähnlich wie das aus der Vorlesung Kapitel 4, Folie 17. module stw(clk,, y1); input, clk; output y1; reg [1:0] s; initial s = 0; // Zustsregister sync reg [2:0] FG [0:7]; // ROM initial FG[0]=3'b001; FG[1]=3'b110; FG[2]=3'b110; FG[3]=3'b001; FG[4]=3'b011; FG[5]=3'b100; FG[6]=3'b111; FG[7]=3'b010; wire [2:0] bef; assign bef = FG[{,s}]; assign y1 = bef[0]; clk) s <= bef[2:1]; Geben Sie die Übergangstabelle und den Zustsgraphen an. Sie können die Zustände dabei zur Vereinfachung auch dezimal darstellen. Seite 1 von 7

2 Übergangstabelle Zustsgraph s s' y y1 = 1 1 y1 = 0 2 y1 = 3 y1 = ~ Aufgabe 7.3: Mikroprogramm-Steuerwerk in Verilog Das in der Vorlesung vorgestellte Fallbeispiel Serien-parallele Multiplikation soll in Verilog beschrieben werden werden. Das folge Bild zeigt das Rechenwerk mit der Schnittstelle zum Steuerwerk. clock start stop X Y load clock addif + P(17:9) P(8:1) shr state iset0 iplus1 i 0 ikleiner7 +1 <7 Rechenwerk a) Das Steuerwerk ist als Mikroprogramm-Steuerwerk in Verilog zu implementieren. Hinweis: Schauen Sie sich dazu auch das vierte Kapitel der Vorlesung an. Für ein Mikroprogramm-Steuerwerk entwickeln wir zunächst eine Übergangstabelle. Seite 2 von 7

3 Wir nen damit, die Eingangssignale des Steuerwerks zu identifizieren (siehe Bild): start, ikleiner7 (1-Bit-Signale) und der aktuelle Zust (clock spielt für die Übergangstabelle keine Rolle). Hierbei muss man sich überlegen, wie viele verschiedene Zustände es gibt (siehe hierzu auch Kapitel 4 der Vorlesungsfolien). Es gibt 4 Zustände (einen Startzust für die Initialisierung (s1), einen für die Addition, falls diese notwig ist (s2), einen für den immer stattfinden Rechtsshift (s3) und einen Endzust (s4)). Der Zust kann also mit 2 Bits kodiert werden (durchnummeriert in der Reihenfolge der Aufzählung). Die Eingangssignale bilden die Adresse der Zustsübergangstabelle, die hier also insgesamt 4 Bit lang ist, das heißt die Tabelle wird 16 Zeilen haben (von 0 bis 15). Wir definieren als Reihenfolge für die Adresse (MSB nach LSB) start, ikleiner7, state. Als nächstes identifizieren wir die Ausgangssignale: stop, load, addif, shr, iset0, iplus1 (1-Bit-Signale), und der Folgezust (wieder 2 Bits). shr und iplus1 kann man hierbei zu einem Signal zusammenfassen, da sie immer die gleichen Werte annehmen. Insgesamt ist jede Zeile des entstehen Speichers also 7 Bit lang. Wir definieren für jede Zeile wieder eine Reihenfolge (MSB nach LSB): state, iset0, load, addif, iplus1/shr, stop. Als nächstes überlegen wir uns, welche Eingangssignale welche Zustsübergänge verursachen und welche Steuersignale jeweils für den aktuellen Zust gesetzt werden müssen. Dabei entsteht folge Übergangstabelle: start ikleiner7 s[1] s[0] s [1] s [0] iset0 load addif iplus1 /shr stop Das Verhalten wurde hier analog zu den Vorlesungsfolien definiert (siehe Kapitel 4, Folien 24, 32 und 35). Wichtig bei Übergangstabellen ist, dass für jede mögliche Adresse ein Verhalten definiert sein muss. (Anmerkung: Es gibt auch ere Möglichkeiten, das Verhalten des Steuerwerks zu definieren. Hier hat beispielsweise das Verändern des Startsignals währ der Berechnung keinen Einfluss (Berechnung wird in jedem Fall zu Ende geführt). Denkbar wäre beispielsweise auch, dass das Startsignal nach dem Laden zurückgesetzt werden muss, damit die Berechnung weitergeführt wird, und dass ein erneutes Setzen von start zu einem Neun führt.) Seite 3 von 7

4 Aus diese Übergangstabelle kann man nun ganz einfach in Verilog das Mikroprogramm-Steuerwerk schreiben (einen Speicherbereich erstellen, diesen belegen und die einzelnen Bits richtig den Ein- und Ausgangssignale zuweisen): // Mikroprogramm-Steuerwerk - Modul module stw(ikleiner7, clock, start, stop, state, load, addif, shr, iset0, iplus1); input clock; input start, ikleiner7; output [1:0] state; reg [1:0] state; initial state = 0; reg [6:0] mpmem [0:15]; // mp speicher wire [3:0] adr; // adresse assign adr = {start, ikleiner7, state}; wire [6:0] bef = mpmem[adr]; // mikrobefehl, ausgang speicher output stop; output load, addif, shr; output iset0, iplus1; // Steuersignale für p // Steuerssignale für i // Zuweisung der ausgehen Steuersignale assign stop = bef[0]; assign iplus1 = bef[1]; assign shr = iplus1; assign addif = bef[2]; assign load = bef[3]; assign iset0 = bef[4]; // Zuweisung des neuen Zusts (sync) clock) state <= bef[6:5]; // Speicherbelegung initial mpmem[0] = 'h10; // mpmem[1] = 'h44; // mpmem[2] = 'h62; // mpmem[3] = 'h61; // mpmem[4] = 'h10; // mpmem[5] = 'h44; // mpmem[6] = 'h22; // mpmem[7] = 'h61; // mpmem[8] = 'h38; // mpmem[9] = 'h44; // mpmem[10] = 'h62; // mpmem[11] = 'h61; // mpmem[12] = 'h38; // mpmem[13] = 'h44; // mpmem[14] = 'h22; // mpmem[15] = 'h61; // Seite 4 von 7

5 b) Das Steuerwerk ist als Schrittsteuerwerk zu zeichnen, in Verilog zu implementieren und zusammen mit dem Rechenwerk in einer Testumgebung zu simulieren für die Werte 8 und 255. Das Timing-Diagramm ist beizufügen. Hinweis: Ein Beispiel für ein Schrittsteuerwerk finden Sie im vierten Kapitel der Vorlesung. start clock Schritt-Steuerwerk not s1 iset0 load or ikleiner7 s2 addif s3 shr, iplus1 not or s4 stop // Schrittsteuerwerk - Modul module stw(ikleiner7, clock, start, stop, state, load, addif, shr, iset0, iplus1); input ikleiner7, clock, start; output stop; output [0:3] state; reg [0:3] state; initial state = 4'b1000; output load, addif, shr; //Steuersignale für p output iset0, iplus1; // Steuerssignale für i // Zuweisung der neuen Zustände (sync) clock) state[0] <= state[0] & ~start; state[1] <= state[0] & start state[2] & ikleiner7; state[2] <= state[1]; state[3] <= state[2] & ~ikleiner7 state[3]; //Zuweisung der ausgehen Steuersignale assign stop = state[3]; assign load = state[0] & start; assign addif = state[1]; assign shr = state[2]; Seite 5 von 7

6 assign iset0 = state[0]; assign iplus1 = state[2]; // Rechenwerk - Modul (enthält Zähler i), siehe Vorlesung Kapitel 4, Folie 29 module rw(iset0, iplus1, load, addif, shr, clock, x, y, p, i, ikleiner7); input clock; input [8:1] x, y; // von der Test-Umgebung input load, addif, shr; output [17:1] p; reg [17:1] p; // sync clock) if (load) p <= {9'b0, y}; if (addif) if (p[1]) p[17:9] <= p[16:9] + x; if (shr) p <= p >> 1; input iset0, iplus1; output [2:0] i; reg [2:0] i; // sync counter output ikleiner7; assign ikleiner7 = (i < 7); clock) if (iset0) i <= 0; if (iplus1) i <= i + 1; // Testumgebungs Modul // leicht angepasste Version der Testumgebung von Kapitel 4, Folie 30 module testbed(); reg clock; // boole buffered reg [8:1] x, y; // boole, Eingangswerte reg start; // boole, start-signal wire stop; // stop signal vom Multiplizierer wire [17:1] p; // zur Anzeige des Ergebnisses wire [3:0] state; // Anzeige wire [2:0] i; // Anzeige // Einbindung des Steuerwerks stw stw(ikleiner7, clock, start, stop, state, load, addif, shr, iset0, iplus1); // Einbindung des Rechenwerks rw rw(iset0, iplus1, load, addif, shr, clock, x, y, p, i, ikleiner7); Seite 6 von 7

7 // Testen initial x = 8; y = 255; // zu mulitpliziere Werte initial start = 0; #15 start = 1; #10 start = 0; initial clock = 0; #5 repeat (100) #5 clock = ~clock; initial wait (stop) $display("%d * %d = %d %h", x, y, p, p); initial wait clock) $finish; initial $dumpfile("a7-3.vcd"); $dumpvars(1); Seite 7 von 7

Binäre Multiplikations- und Divisionswerke

Binäre Multiplikations- und Divisionswerke Binäre Multiplikations- und Divisionswerke Herleitung, Entwurf und Optimierung 1. Juli 2008 Joscha Drechsler joscha_d@rbg.informatik.tu-darmstadt.de FB Informatik FG Rechnerarchitektur 1 Inhaltsverzeichnis

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Rechnerentwurf und Mikroprogrammierung

Rechnerentwurf und Mikroprogrammierung Rechnerentwurf und Mikroprogrammierung Einführung in die Simulation und Synthese mit ModellSim/Quartus II Wolfgang Heenes FG Rechnerarchitektur 16. April 2009 Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

5. Übung: Binäres Rechnen und Fließkommazahlen Abteilung Verteilte Systeme, Universität Ulm

5. Übung: Binäres Rechnen und Fließkommazahlen Abteilung Verteilte Systeme, Universität Ulm 5. Übung: Binäres Rechnen und Fließkommazahlen Aufgabe 1: Binäres Rechnen a) Berechnen Sie: x = 01100101b*(0101101b-10110100b)+10101b. Alle Zahlen sind 8 Bit breit und in Zweierkomplement-Notation angegeben.

Mehr

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011 Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2003. 1 Ausblick. Erste

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Projekt Nr. 15: Einen elektronischen Würfel erstellen

Projekt Nr. 15: Einen elektronischen Würfel erstellen Nun wissen Sie, wie Sie Zufallszahlen erzeugen können. Als Nächstes wollen wir diese neuen Kenntnisse gleich in die Tat umsetzen, indem wir einen elektronischen Würfel konstruieren. Projekt Nr. 15: Einen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

BITte ein BIT. Vom Bit zum Binärsystem. A Bit Of Magic. 1. Welche Werte kann ein Bit annehmen? 2. Wie viele Zustände können Sie mit 2 Bit darstellen?

BITte ein BIT. Vom Bit zum Binärsystem. A Bit Of Magic. 1. Welche Werte kann ein Bit annehmen? 2. Wie viele Zustände können Sie mit 2 Bit darstellen? BITte ein BIT Vom Bit zum Binärsystem A Bit Of Magic 1. Welche Werte kann ein Bit annehmen? 2. Wie viele Zustände können Sie mit 2 Bit darstellen? 3. Gegeben ist der Bitstrom: 10010110 Was repräsentiert

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke

bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke Rechnerarithmetik Rechnerarithmetik 22 Prof. Dr. Rainer Manthey Informatik II Übersicht bereits in A,3 und A.4: Betrachtung von Addierschaltungen als Beispiele für Schaltnetze und Schaltwerke in diesem

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Kybernetik LTI-Systeme

Kybernetik LTI-Systeme Kybernetik LTI-Systeme Mohamed Oubbati Institut für Neuroinformatik Tel.: (+49) 731 / 50 24153 mohamed.oubbati@uni-ulm.de 26. 04. 2012 Was ist Kybernetik? environment agent Kybernetik ermöglicht, die Rückkopplung

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Brückenkurs / Computer

Brückenkurs / Computer Brückenkurs / Computer Sebastian Stabinger IIS 23 September 2013 Sebastian Stabinger (IIS) Brückenkurs / Computer 23 September 2013 1 / 20 Content 1 Allgemeines zum Studium 2 Was ist ein Computer? 3 Geschichte

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Programmieren. Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 2008/2009. Prof. Dr. Christian Werner

Programmieren. Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 2008/2009. Prof. Dr. Christian Werner Institut für Telematik Universität zu Lübeck Programmieren Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 8/9 Prof. Dr. Christian Werner 3- Überblick Typische Merkmale moderner Computer

Mehr

Visualisierung der Funktionsweise des Advanced Encrytion Standard (AES) Benutzerhandbuch

Visualisierung der Funktionsweise des Advanced Encrytion Standard (AES) Benutzerhandbuch Visualisierung der Funktionsweise des Advanced Encrytion Standard (AES) Benutzerhandbuch Claude Petry Projektarbeit im Bachelor Studiengang Informatik Bearbeitungszeitraum: Sommersemester 2007 Betreuer:

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 Der MAX5382 ist ein 8 Bit DA Wandler im SOT23 Gehäuse. Der MAX5380 besitzt eine interne Referenzspannung von 2 V, der MAX5381 von 4 Volt und der verwendete

Mehr

HARDWARE-PRAKTIKUM. Versuch L-4. Komplexe Schaltwerke. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-4. Komplexe Schaltwerke. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-4 Komplexe Schaltwerke Fachbereich Iformatik Uiversität Kaiserslauter Seite 2 Versuch L-4 Versuch L-4 I diesem Versuch soll ei Rechewerk zur Multiplikatio vo zwei vorzeichelose

Mehr

Übung RA, Kapitel 1.2

Übung RA, Kapitel 1.2 Übung RA, Kapitel 1.2 Teil 1: Zahlen und Logik A) Aufgaben zu den ganzen Zahlen 1. Konvertieren Sie die folgenden Zahlen in die Binärform: 1984 Immer durch 2 teilen, der Rest ergibt das Bit. Jeweils mit

Mehr

SPI-Bus-Kommunikation mit Sensortechnics digitalen Drucksensoren der HCE-Serie

SPI-Bus-Kommunikation mit Sensortechnics digitalen Drucksensoren der HCE-Serie 1 EINFÜHRUNG 1.1 Digitale Drucksensoren Sensortechnics digitale Drucksensoren nutzen einen internen ASIC zur digitalen Kalibrierung, Temperaturkompensation und Ausgabe eines digitalen Ausgangssignals mit

Mehr

Versuch 3: Anwendungen der schnellen Fourier-Transformation (FFT)

Versuch 3: Anwendungen der schnellen Fourier-Transformation (FFT) Versuch 3: Anwendungen der schnellen Fourier-Transformation (FFT) Ziele In diesem Versuch lernen Sie zwei Anwendungen der Diskreten Fourier-Transformation in der Realisierung als recheneffiziente schnelle

Mehr

SPS. Nockenschaltwerk- Software

SPS. Nockenschaltwerk- Software SPS Nockenschaltwerk- Software für S5 oder S7 Digitronic Steinbeisstraße 3 D - 72636 Frickenhausen Tel. (+49)7022/40590-0 Fax -10 Auf der Langwies 1 D - 65510 Hünstetten-Wallbach Tel.(+49)6126/9453-0 Fax

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Prozess-rechner. auch im Büro. Automation und Prozessrechentechnik. Prozessrechner. Sommersemester 2011. Prozess I/O. zu und von anderen Rechnern

Prozess-rechner. auch im Büro. Automation und Prozessrechentechnik. Prozessrechner. Sommersemester 2011. Prozess I/O. zu und von anderen Rechnern Automation und Prozessrechentechnik Sommersemester 20 Prozess I/O Prozessrechner Selbstüberwachung zu und von anderen Rechnern Prozessrechner speziell Prozessrechner auch im Büro D A D A binäre I/O (Kontakte,

Mehr

11. Aufgabenblatt 30.06.2010

11. Aufgabenblatt 30.06.2010 Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 11. Aufgabenblatt 30.06.2010 Aufgabe 1: Einführung in MatLab/Simulink/Stateflow MatLab 1 ist ein Programm zum wissenschaftlichen,

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil Hochschule HSR Oberseestrasse 10 CH-8640 Tel 055 222 47 00 Fax 055 222 47 07 1. März 1999 Anleitung SDDesigner 1. Einführung Der SDDesigner ist ein grafisches Eingabewerkzeug für Übergangsdiagramme. Die

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

1. Übung - Einführung/Rechnerarchitektur

1. Übung - Einführung/Rechnerarchitektur 1. Übung - Einführung/Rechnerarchitektur Informatik I für Verkehrsingenieure Aufgaben inkl. Beispiellösungen 1. Aufgabe: Was ist Hard- bzw. Software? a Computermaus b Betriebssystem c Drucker d Internetbrowser

Mehr

Hardwarebeschreibung mit Verilog. Vorlesung Hardwareverifikation (Verification Engeneering)

Hardwarebeschreibung mit Verilog. Vorlesung Hardwareverifikation (Verification Engeneering) Hardwarebeschreibung mit Verilog Vorlesung Hardwareverifikation (Verification Engeneering) Schaltungsbeschreibung Um Schaltungen verifizieren zu können, muß man sie erst beschreiben Hardwarebeschreibung

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Grundlagen der Programmierung II BMI Bakk.

Grundlagen der Programmierung II BMI Bakk. BMI Bakk. Teil 2 Rainer Schubert Institut für Biomedizinische Bildanalyse Inhalt Aufbau eines µprozessors Grundbegriffe Allgemein PIC16F690 Ports Programmspeicher Datenspeicher RAM, ROM Spezialregister

Mehr

Information in einem Computer ist ein

Information in einem Computer ist ein 4 Arithmetik Die in den vorhergehenden Kapiteln vorgestellten Schaltungen haben ausschließlich einfache, Boole sche Signale verarbeitet. In diesem Kapitel wird nun erklärt, wie Prozessoren mit Zahlen umgehen.

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 4 Prozessor Einzeltaktimplementierung Lothar Thiele Computer Engineering and Networks Laboratory Vorgehensweise 4 2 Prinzipieller Aufbau Datenpfad: Verarbeitung und Transport von

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Rechnerorganisation II 1. Übungsblatt

Rechnerorganisation II 1. Übungsblatt Rechnerorganisation II 1. Übungsblatt Entwerfen Sie eine möglichst einfache Schaltung auf Register-Transfer-Ebene für einen 16-Bit Minimalprozessor MPROZ mit folgenden Vorgaben: a) Schnittstellen des Prozessors

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Methodische Grundlagen des Software Engineering - Übung 9

Methodische Grundlagen des Software Engineering - Übung 9 Engineering - Übung 9 9 Prozess und Softwarequalität Abgabe der Hausaufgaben am Anfang der jeweiligen Präsenzübung am 14.06.2011 bzw. 15.06.2011. Hinweise und Kontakt: Veranstaltungsseite 1 9.1 Grundlagen

Mehr

21.10.2013. Vorlesung Programmieren. Agenda. Dezimalsystem. Zahlendarstellung. Zahlendarstellung. Oder: wie rechnen Computer?

21.10.2013. Vorlesung Programmieren. Agenda. Dezimalsystem. Zahlendarstellung. Zahlendarstellung. Oder: wie rechnen Computer? Vorlesung Programmieren Zahlendarstellung Prof. Dr. Stefan Fischer Institut für Telematik, Universität zu Lübeck http://www.itm.uni-luebeck.de/people/pfisterer Agenda Zahlendarstellung Oder: wie rechnen

Mehr

Bedienungsanleitung Version 1.0

Bedienungsanleitung Version 1.0 Botex DMX Operator (DC-1216) Bedienungsanleitung Version 1.0 - Inhalt - 1 KENNZEICHEN UND MERKMALE...4 2 TECHNISCHE ANGABEN...4 3 BEDIENUNG...4 3.1 ALLGEMEINES:...4 3.2 BEDIENUNG UND FUNKTIONEN...5 4 SZENEN

Mehr

Instruktionssatz-Architektur

Instruktionssatz-Architektur Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Übersicht 1 Einleitung 2 Bestandteile der ISA 3 CISC / RISC Übersicht 1 Einleitung 2 Bestandteile

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Zahlensysteme. Zahl 0 0 0 0 0 5 5. Stellenwert Zahl 0 0 0 0 0 50 5. Zahl = 55 +50 +5

Zahlensysteme. Zahl 0 0 0 0 0 5 5. Stellenwert Zahl 0 0 0 0 0 50 5. Zahl = 55 +50 +5 Personal Computer in Betrieb nehmen 1/6 Weltweit setzen die Menschen alltäglich das Zehnersystem für Zählen und Rechnen ein. Die ursprüngliche Orientierung stammt vom Zählen mit unseren 10 Fingern. Für

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Lösung 1. Übungsblatt

Lösung 1. Übungsblatt Fakultät Informatik, Technische Informatik, Professur für Mikrorechner Lösung 1. Übungsblatt Konvertierung von Zahlendarstellungen verschiedener Alphabete und Darstellung negativer Zahlen Stoffverteilung

Mehr

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 1 OSI Schichtenmodell Systeme der Nachrichtentechnik werden häufig mittels des OSI-Referenzmodells charakterisiert. a) Benennen Sie die Schichten des OSI-Referenzmodells!

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Klausur zur Veranstaltung Softwareentwicklung 1. Sommersemester 2004. Hessische VWA. Dr. Alexandra Roder, Bernd Ulmann 5.

Klausur zur Veranstaltung Softwareentwicklung 1. Sommersemester 2004. Hessische VWA. Dr. Alexandra Roder, Bernd Ulmann 5. Klausur zur Veranstaltung Softwareentwicklung 1 Sommersemester 2004 Hessische VWA Dr. Alexandra Roder, Bernd Ulmann 5. Juni 2004 Hinweise: Die Klausur besteht aus 24 Teilaufgaben. Insgesamt sind 120 Punkte

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Tutorials im Zusammenhang mit Verilog-Simulation

Tutorials im Zusammenhang mit Verilog-Simulation Tutorials im Zusammenhang mit Verilog-Simulation Installation, Konfiguration, Simulation 15. Oktober 2008 Autoren: Wolfgang Heenes, Jacqueline Vogel, Joscha Drechsler Zusammenstellung: Joscha Drechsler

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

2. VARIANTEN : 2.3 Konfigurationsbeispiele :

2. VARIANTEN : 2.3 Konfigurationsbeispiele : . VARIANTEN :. Typenbezeichnung : Der SAM-A ist mit 4 oder auch nur teilbestückt mit unabhängigen Verstärkerzügen lieferbar. Dabei bedeutet in der Typenbezeichnung :. Ziffer hinter Schrägstrich = Anzahl

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

3 TECHNISCHER HINTERGRUND

3 TECHNISCHER HINTERGRUND Techniken und Voraussetzungen 3 TECHNISCHER HINTERGRUND 3.1 Was bedeutet Feldbus-Technik? Die Feldbus-Technik wird zur Datenübertragung zwischen Sensoren / Aktoren und Automatisierungsgeräten, z.b. Speicher-Programmierbaren-

Mehr

Praktikum am 16. April 2008 Variablen

Praktikum am 16. April 2008 Variablen Praktikum am 16. April 2008 Variablen Prof. Dr. Bernhard Humm Version: 1.0 Date: 10.4.2008 Hochschule Darmstadt Fachbereich Informatik Schöfferstr. 8b 64295 Darmstadt 1 Umgang mit Datentypen Experimentieren

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Digitaltechnik. 2 Technologie. Revision 1.05

Digitaltechnik. 2 Technologie. Revision 1.05 Digitaltechnik 2 Technologie A Revision.05 Abstrakte Schalter Schalter in Hardware Integrierte Schaltkreise Physikalische Aspekte Latches, Flipflops und Clocks Field-Programmable Gate Arrays (FPGAs) Logikgatter

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

Fehlerkorrektur Bild 3.190 Demoprozessor

Fehlerkorrektur Bild 3.190 Demoprozessor 7 Prozessor 3 0 Flags C V N Z A IP 0 SP AB 8 MS W/R DB 4 00h..6Fh Daten Speicher 70h..70h PA 71h..71h PB 72h..73h PC 74h..76h PD 80h..FFh Programm Speicher Fehlerkorrektur Bild 3.190 Demoprozessor Die

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Binäre Gleitkommazahlen

Binäre Gleitkommazahlen Binäre Gleitkommazahlen Was ist die wissenschaftliche, normalisierte Darstellung der binären Gleitkommazahl zur dezimalen Gleitkommazahl 0,625? Grundlagen der Rechnerarchitektur Logik und Arithmetik 72

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum Versuche mit Microcontroller-System

Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum Versuche mit Microcontroller-System Kurzanleitung: Universität Paderborn Fakultät für Naturwissenschaften - Physikalisches Praktikum BASIC-Programme für das C-Control Prozessormodul Jedes Programm besteht aus einem Vereinbarungsteil und

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Messtechnik-Grundlagen

Messtechnik-Grundlagen Carl-Engler-Schule Karlsruhe Messtechnik-Grundlagen 1 (5) Messtechnik-Grundlagen 1. Elektrische Signale 1.1 Messung von Spannung, Strom und Widerstand Für die Größen Spannung U in V (Volt), den Strom I

Mehr