Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende "1"-Werte erkannt werden sollen.

Größe: px
Ab Seite anzeigen:

Download "Entwerfen Sie einen Sequenzdetektor als Mealy-Automat für eine Sequenz, bei der drei aufeinanderfolgende "1"-Werte erkannt werden sollen."

Transkript

1 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 6.: ntwerfen Sie einen Sequenzdetektor als Mealy-utomat für eine Sequenz, bei der drei aufeinanderfolgende ""-Werte erkannt werden sollen. X SQ Y a) Zeichnen Sie das Zustandsdiagramm. b) eben Sie die Zustandsfolgetabelle an. c) ühren Sie die Synthese mit Hilfe von KV-Tafeln durch. ufgabe 6.2: er Sequenzdetektor für drei aufeinanderfolgende ""-Werte soll als verhaltensgleicher Moore-utomat realisiert werden. a) ntwickeln Sie das Zustandsdiagramm des Moore-utomaten aus dem des Mealy-utomaten aus ufgabe 6.. b) eben Sie die Schaltwerktabelle an. c) ühren Sie die Synthese mit Hilfe der KV-Tafeln durch. Ü - 9

2 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.: Welche ingaben führen bei dem dargestellten Zustandsdiagramm in den ndzustand? pon * ufgabe 7.2: egeben sind Übergangs- und usgangstabelle eines synchronen Schaltwerks. ntwickeln Sie daraus das Zustandsdiagramm. Z + Y Z x Z x ufgabe 7.3: s ist ein Schaltwerk zu entwerfen, das in einer Impulsfolge die ufeinanderfolge e=(,,) durch ein Signal = meldet, das für die auer der abschließenden in e ausgegeben wird. LK e a) ntwickeln Sie das Zustandsdiagramm. b) eben Sie die Übergangs-, usgangs- und Schaltwerktabelle an. Ü - 2

3 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.4: s ist ein Schaltwerk zu entwerfen, das in einer Impulsfolge die ufeinanderfolge (e 2 e )=(,,) durch ein Signal = meldet, das für die auer des ingangszustands (e 2 e )=() ausgegeben wird. LK e 2 e a) ntwickeln Sie das Zustandsdiagramm. b) eben Sie die Übergangs-, usgangs- und Schaltwerktabelle an. ufgabe 7.5: Minimieren Sie folgende Schaltwerktabelle und zeichnen Sie die Zustandsdiagramme des ursprünglichen und des minimierten Schaltwerks. Z x Z + /Y / / / / / / / / ufgabe 7.6: Minimieren Sie folgende Schaltwerktabelle und zeichnen Sie die Zustandsdiagramme des ursprünglichen und des minimierten Schaltwerks. Z x Z + /Y H / / / H/ / / / / / / / / / / / / Ü - 2

4 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.7: as Zustandsdiagramm eines Schaltwerks hat die folgende orm: pon / / / Z X/Y / / / / / a) Stellen Sie die Schaltwerktabelle auf. b) Minimieren Sie die Schaltwerktabelle. c) Zeichnen Sie das Zustandsdiagramm des minimierten Schaltwerks. ufgabe 7.8: ntwerfen Sie ein Schaltwerk zur rehrichtungserkennung einer chse. ie chse ist mit einer Langlochscheibe ausgerüstet. ie Scheibe wird optoelektronisch abgetastet und die lektronik liefert die Signale S und S 2. iese werden mit dem Taktsignal synchronisiert und danach als e und e 2 dem Schaltwerk zugeführt. as Schaltwerk erzeugt die usgangsvariablen U (rehung im Uhrzeigersinn) und R (egenrichtung). U und R sollen für jeweils eine Taktperiode den Zustand annehmen, wenn das Langloch die btaster einmal passiert. S S 2 ie Taktfrequenz ist so hoch, daß sich die Signale e und e 2 niemals in derselben Taktperiode ändern können. Im Ruhezustand sind beide btaster verdeckt (mechanische rretierung). a) Stellen Sie das Schaltwerk als "lack box" dar. b) ntwickeln Sie das Zustandsdiagramm. c) eben Sie die Übergangs-, usgangs- und Schaltwerktabelle an. d) ühren Sie eine Zustandsminimierung durch. e) Zeichnen Sie das minimierte Zustandsdiagramm. f) odieren Sie die Zustände und geben Sie die codierte Schaltwerktabelle an. g) Minimieren Sie olgezustands- und usgangsschaltnetz. h) Zeichnen Sie das Schaltwerk. Ü - 22

5 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.9: s ist ein Paritätsbit-enerator für den insatz im ereich der seriellen atenübertragung zu entwerfen. er enerator soll in odewörtern, die aus drei Zeichenbits (u =u(t ), u =u(t ), u 2 =u(t 2 )) und einem Trennungsbit (u 3 =u(t 3 )) bestehen, das Trennungsbit durch ein Paritätsbit für ungerade Parität (y=y 3 (t 3 )) ersetzen. ie Zeichenbits sollen unverändert zum usgang gegeben werden (y =y(t ), y =y(t ), y 2 =y(t 2 )). a) Stellen Sie das Schaltwerk als "lack box" dar. b) ntwickeln Sie das Zustandsdiagramm. c) eben Sie die Schaltwerktabelle an, führen Sie eine Zustandsminimierung durch und zeichnen Sie das minimierte Zustandsdiagramm. d) odieren Sie die Zustände dual ohne eachtung der odierungsregeln und geben Sie die codierte Schaltwerktabelle an. e) Minimieren Sie olgezustands- und usgangsschaltnetz. f) odieren Sie die Zustände unter eachtung der odierungsregeln und geben Sie die codierte Schaltwerktabelle an. g) Minimieren Sie olgezustands- und usgangsschaltnetz. h) Vergleichen Sie die Schaltwerke. i) Untersuchen Sie das Verhalten der Schaltwerke, wenn Pseudozustände auftreten. ufgabe 7.: as Zustandsdiagramm eines Schaltwerks hat die folgende orm: / Z X/Y pon / / / / / / / estimmen Sie bei dualer odierung der Zustände a) das usgangsschaltnetz, b) die Übergangsschaltnetze bei Verwendung von -lipflops, JK-lipflops und RS-lipflops und c) die Reaktion des Schaltwerks auf die ingabefolge, wenn sich das Schaltwerk beim ersten ingabezeichen im Zustand befindet. Ü - 23

6 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.: as Zustandsdiagramm eines Mealy-utomaten hat die folgende orm: / pon / / Z X X/Y / / / / x/ / / / / x/ / ntwickeln Sie daraus das Zustandsdiagramm eines Moore-utomaten. ufgabe 7.2: as Zustandsdiagramm eines Mealy-utomaten hat die folgende orm: pon / / / / / / / / / / / / / / Z X/Y a) Stellen Sie die Schaltwerktabelle auf. b) ühren Sie eine Zustandsminimierung durch. c) Zeichnen Sie das minimierte Zustandsdiagramm. d) odieren Sie die Zustände und geben Sie die codierte Schaltwerktabelle an. e) Minimieren Sie olgezustands- und usgangsschaltnetz. Ü - 24

7 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.3: in synchrones Schaltwerk zeigt folgendes Verhalten seiner ingangsvariablen X, seiner Zustände... und seiner usgangsvariablen Y: X Z Z + Y a) ntscheiden Sie, ob das Schaltwerk einen Moore- oder einen Mealy-utomaten realisiert. b) ntwerfen Sie Zustandsdiagramm und Schaltwerktabelle. c) Was geschieht beim intreffen eines Taktimpulses, wenn sich das Schaltwerk im Zustand befindet und die ingangsvariable X = anliegt? d) Wie verhält sich das Schaltwerk, wenn im Zustand X = ist und dieser Wert aufrechterhalten bleibt? rgibt sich ein ähnliches Verhalten auch bei anderen Zuständen? e) Welche Zustände zeigen das gleiche usgangsverhalten? f) Minimieren Sie die nzahl der Zustände. g) odieren Sie die Zustände im ualcode (Im Zustand sollen alle lipflops gelöscht sein) und geben Sie das reduzierte Zustandsdiagramm an. h) Realisieren Sie das Schaltwerk unter Verwendung von Invertern, NN's und einflankengesteuerten -lipflops (/-lanke). Ü - 25

8 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 7.4: in synchrones Schaltwerk soll seine Zustandsvariablen Q und Q in bhängigkeit von den ingangsvariablen X und X nach folgender Tabelle ändern: x x Q + Q + Q + Q + ndere Wertekombinationen der ingangs- und Zustandsvariablen treten nicht auf. a) eben Sie die Übergangstabelle an. d) rmitteln Sie die rregungsfunktionen für JK-lipflops mit je drei konjunktiv verknüpften J- bzw. K- ingängen. c) nalysieren Sie das olgeverhalten bei den redundanten Wertekombinationen unter Verwendung der unter b) gefundenen Lösung. ufgabe 7.5: Minimieren Sie die folgende Schaltwerktabelle und zeichnen Sie das minimierte Zustandsdiagramm. Z + /y Z x x / / / / / H/ / / / / / / I/ / / / / / / / H/ H / / / I / I/ / Ü - 26

9 Prof. r.-ing.. Schubert igitaltechnik II ufgabe 9.: egeben ist folgendes Zustandsdiagramm eines synchronen Schaltwerks: pon / / Z Z a / Y / / / x/ / eben Sie eine Zustandscodierung an, wenn die ingangsvariable a sich asynchron ändern kann. er Zustand soll mit (Z Z) = ( ) codiert werden. ufgabe 9.4: s ist eine Schaltung zu entwerfen, die aus einem asynchronen ingangssignal a ein synchrones usgangssignal erzeugt, dessen ansteigende lanke synchronisiert ist. ie abfallende lanke soll mit der des asynchronen ingangssignals übereinstimmen. Zur Schaltungsrealisierung steht ein flankengesteuertes -lipflop mit irekteingängen zur Verfügung. LK a ufgabe.: in 256*8 RM-austein soll an einen 6 it breiten dreßbus (5,...,) angeschlossen werden. er austein besitzt vier S-ingänge (S, S, S2, S3), die intern mit UN verknüpft sind. ie uswahl des austeins erfolgt mit den dreßleitungen 5 und 4. Nicht angeschlossenen dreßleitungen soll die binäre "" zugeordnet werden. a) Wo liegt der dreßbereich, wenn 5 und S3 sowie 4 und S2 verbunden werden? Wie müssen S und S beschaltet sein? b) Wieviele solcher austeine lassen sich mit den Leitungen 5 und 4 maximal auswählen? Welche dreßbereiche ergeben sich für diese älle? Ü - 27

10 Prof. r.-ing.. Schubert igitaltechnik II ufgabe.2: egeben ist die folgende nschlußbelegung für die austeine eines Mikrocomputers: 5 4 dreßvariablen austein x x x x x x x x RM x x x x x x x x x x ROM x x I/O x = angeschlossen Nicht angeschlossenen Leitungen soll die binäre "" zugeordnet werden. Welche dreßbereiche ergeben sich für die einzelnen austeine? ufgabe.3: RM, ROM und I/O-austein eines Mikrocomputers sollen an einen 6 it breiten dreßbus so angeschlossen werden, daß sich folgende dreßbereiche ergeben: RM ROM I/O bis 7 bis bis a) Wie groß ist der gesamte dreßraum? b) Wie groß sind die dreßräume der einzelnen austeine und der nicht belegte dreßraum? c) eben Sie in einer Tabelle nach ufgabe.2 die nschlußbelegung der austeine an. d) eben Sie die leichungen für die einzelnen S-nschlüsse der austeine als unktion der relevanten dreßleitungen an. e) er ROM-ereich soll vervierfacht werden. Wie lautet bei gleicher nfangsadresse die neue ndadresse? ufgabe.4: ls efehle für einen Mikroprozessor stehen folgende zur Verfügung: load adr kku M store adr M kku add adr kku kku + M sub adr kku kku - M ldh data kku Hex-Zahl er dreßteil und die Hex-Zahl können 5 bit lang sein. a) Schreiben Sie ein Programm, das folgende Operation ausführt: = +. ie dressen der Zahlen lauten: = (), = (), = (). b) soll gleich 5 6 und gleich 6 sein. Wie sieht das Programm zur elegung der Konstanten und aus? c) eben sie den inärcode und den Hex-ode für das Programm nach a) und b) an. d) nalysieren Sie folgendes Programm (Hex-ode, mnemonischer ode und rläuterung): e) Wie sieht in zeitlicher Reihenfolge die elegung von kku und relevanten dressen aus? ufgabe.5: Ü - 28

11 Prof. r.-ing.. Schubert igitaltechnik II Im Mikroprozessor nach ufgabe.4 werden negative Zahlen im Zweierkomplement dargestellt. er efehlssatz wird durch folgende Sprungbefehle erweitert: jmp adr unbedingter Sprung nach adr jpl adr Sprung nach adr, wenn kkuinhalt > Schreiben Sie ein Programm, das bei zwei Zahlen und, die bei den dressen und stehen, die etragsbildung vornimmt und die Summe der eträge bei dresse ablegt. as Programm soll bei dresse beginnen. dresse Programm und aten xxxx xxxx Zahl Zahl Zahl xxxx xxxx ufgabe.6: ür einen Mikroprozessor steht der efehlssatz aus den ufgaben.4 und.5 zur Verfügung. Im RM sind zwei (drei) Zahlen und (und ) unter den dressen von ufgabe.5 gespeichert. a) Schreiben Sie ein Programm, das die zwei (drei) Zahlen nach ihrer röße sortiert. (n der dresse von () soll nach dem Sortiervorgang die größte Zahl stehen) b) eben Sie für folgende Zahlenwerte die zeitliche elegung der relevanten dressen an: =, = 3, ( = -); = 3, = -, ( = 6); = 3, = 3, ( = 3) ufgabe.7: RM, PROM und I/O-austein des Mikrocomputers aus der Vorlesung sollen an den 2 it breiten dreßbus so angeschlossen werden, daß sich folgende dreßbereiche ergeben: PROM RM I/O bis 7 8 bis bis a) Wie groß ist der gesamte dreßraum? b) Wie groß sind die dreßräume der einzelnen austeine? c) eben Sie in einer Tabelle nach ufgabe.2 die nschlußbelegung der austeine an. d) eben Sie die leichungen für die einzelnen S-nschlüsse der austeine als unktion der relevanten dreßleitungen an. Ü - 29

12 Prof. r.-ing.. Schubert igitaltechnik II ufgabe.8: Zeichnen Sie ein Timing-iagramm für den Rechner aus der Vorlesung, das die Signalabläufe des efehls L #aten (nur execute-phase) zeigt. ufgabe.9: nalysieren Sie folgendes Programm (Mnemonischer ode und rläuterung, zeitliche elegung relvanter dressen und kkuinhalt) für den Rechner aus der Vorlesung (Opode 4 it, odierung ild T-322; dreßteil 2 it): dresse Programm und aten Ü - 3

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Schaltwerksanalyse-Übungen

Schaltwerksanalyse-Übungen Schaltwerksanalyse-Übungen Übung : Gegeben ist folgene Schaltung, eren Funktion zu bestimmen ist. c Ergänzen Sie as folgene Signal-Zeit-iagramm. c ie Lösung kann sehr zeitaufwenig sein, wenn man keine

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

b. Erstellen Sie Wahrheitstabellen für die folgenden Terme:

b. Erstellen Sie Wahrheitstabellen für die folgenden Terme: Übungen zur Vorlesung Technische Informatik I, SS 200 Strey / Guenkova-Luy / Prager Übungsblatt oolesche lgebra /Kombinatorische Logik ufgabe : a. Welche der folgenden Terme können als Minterm, Maxterm,

Mehr

Technischen Informatik I, WS 2004/05

Technischen Informatik I, WS 2004/05 PHILIPPS-UNIVERSITÄT MARBURG Fachbereich Mathematik und Informatik Prof Dr R Loogen, Dipl-Inform J Beringer D-3532 Marburg Hans-Meerwein-Straße Lahnberge Klausur zur Technischen Informatik I, WS 24/5 3

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN:

Stichwortverzeichnis. Gerd Wöstenkühler. Grundlagen der Digitaltechnik. Elementare Komponenten, Funktionen und Steuerungen ISBN: Stichwortverzeichnis Gerd Wöstenkühler Grundlagen der Digitaltechnik Elementare Komponenten, Funktionen und Steuerungen ISBN: 978-3-446-42737-2 Weitere Informationen oder Bestellungen unter http://www.hanser.de/978-3-446-42737-2

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden:

a. Welche der folgenden Terme können als Minterm, Maxterm, beides oder keines von beidem dargestellt werden: Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / hen Übungsblatt 1 oolesche lgebra /Kombinatorische Logik ufgabe 1: a. Welche der folgenden Terme können als Minterm,

Mehr

Vorlesung "Struktur von Mikrorechnern" (CBS)

Vorlesung Struktur von Mikrorechnern (CBS) Grundlegende Mikrorechnerstrukturen. as Rechnersystem.2 er Prozessor.3 efehlsverarbeitung.4 efehlsstruktur.5 dressierungsarten.6 Interruptsystem Inhaltsverzeichnis Kapitel Kap. / 34 ie nfänge Mikrorechner

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Analyse logischer Schaltnetze

Analyse logischer Schaltnetze 2003, Thomas armetler Kippstufen und ähler nalyse logischer Schaltnetze nalyse logischer Schaltnetze eim usammenwirken von mindestens zwei logischen Grundschaltungen spricht man auch von einem logischen

Mehr

(Prüfungs-)Aufgaben zu Schaltnetzen

(Prüfungs-)Aufgaben zu Schaltnetzen (Prüfungs-)Aufgaben zu Schaltnetzen 1) Gegeben sei die binäre Funktion f(a,b,c,d) durch folgende Wertetabelle: a b c d f(a,b,c,d) 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 a) Geben Sie die disjunktive Normalform

Mehr

5.3 Der Tabellenpuffer. aktualität nicht so wichtig ist, Datenkonsistenz aber schon. Zur Verdeutlichung der Datenkonsistenz hilft folgendes Beispiel.

5.3 Der Tabellenpuffer. aktualität nicht so wichtig ist, Datenkonsistenz aber schon. Zur Verdeutlichung der Datenkonsistenz hilft folgendes Beispiel. 5 Pufferung aktualität nicht so wichtig ist, atenkonsistenz aber schon. Zur Verdeutlichung der atenkonsistenz hilft folgendes eispiel. ngenommen ein Internetshop führt eine kleine Preisanpassung während

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Aus Knoten und Kanten, die Bezeichnungen haben können. Ein Graph, bei dem die Kanten Richtungen haben.

Aus Knoten und Kanten, die Bezeichnungen haben können. Ein Graph, bei dem die Kanten Richtungen haben. ormale Methoden der Informatik WS 2/2 Lehrstuhl für atenbanken und Künstliche Intelligenz ProfrrJRadermacher H Ünver T Rehfeld J ollinger 3 ufgabenblatt esprechung in den Tutorien vom 72 (ab Übungstermin)

Mehr

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Arbeitsblatt : Modulo-3-Vorwärtszähler der im Dualcode (Ausgang A1-A0 ) die positiven Flanken des Eingangssignals E zählt. Für den ersten Entwurf stehen

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Lösung 5. Mikroprozessor & Eingebettete Systeme 1

Lösung 5. Mikroprozessor & Eingebettete Systeme 1 Lösung 5 Mikroprozessor & Eingebettete Systeme 1 WS2015 / 2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg in

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

4. Landeswettbewerb Mathematik Bayern 2. Runde 2001/2002 Aufgaben und Lösungsbeispiele

4. Landeswettbewerb Mathematik Bayern 2. Runde 2001/2002 Aufgaben und Lösungsbeispiele 4. Landeswettbewerb athematik ayern. Runde 00/00 ufgaben und Lösungsbeispiele ufgabe In einem Viereck sind die Seiten [], [] und [] gleich lang. ie Seite [] hat die gleiche Länge wie die iagonale []. iese

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Magische Quadrate. Die Abbildung zeigt einen Ausschnitt aus Albrecht Dürers Kupferstich «Melancholie».

Magische Quadrate. Die Abbildung zeigt einen Ausschnitt aus Albrecht Dürers Kupferstich «Melancholie». 4 9 2 3 5 7 8 6 2 Magische Quadrate Magische Quadrate ie bbildung zeigt einen usschnitt aus lbrecht ürers Kupferstich «Melancholie». ei genauem Hinsehen erkennen Sie ein magisches Quadrat vierter Ordnung.

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

In der Literatur finden Sie eine Vielzahl von Zähler- und Frequenzteilerschaltungen.

In der Literatur finden Sie eine Vielzahl von Zähler- und Frequenzteilerschaltungen. 6.8. Zähler und Frequenzteiler In 5.2. haben wir bereits Zähler und Frequenzteiler entworfen. Grundsätzliche Unterschiede haben wir nicht erkannt. Folglich müßte sich ein Zähler als Frequenzteiler und

Mehr

VU Grundlagen digitaler Systeme

VU Grundlagen digitaler Systeme VU Grundlagen digitaler Systeme Übung 4. Übung 183.580, 2014W Übungsgruppen: Fr., 05.12.2014 Hinweis: Verwenden Sie für Ihre Lösungen keinen Taschenrechner und geben Sie die einzelnen Lösungsschritte an,

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik Elektronik/Mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel Flip-Flops im Selbststudium aneignen zu können. Offene Fragen klären

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Schaltungen von Widerständen

Schaltungen von Widerständen Schaltungen von Widerständen von Peter Nemec, Otto-ahn-ymnasium Saarbrücken, 004 ufgabe 1 Wie groß ist der elektrische Widerstand ges zwischen a) den Klemmen und, b) den Klemmen und, wenn alle Teilwiderstände

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

9 Codes. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 9-1

9 Codes. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 9-1 9 Codes 9.1 Charakterisierung und Klassifizierung Definition: Das Ergebnis einer eindeutigen Zuordnung zweier Zeichen- bzw. Zahlenmengen wird Code genannt. Die Zuordnung erfolgt über eine arithmetische

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Grundlagen der Digitaltechnik. Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik. Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Dipl-Inform. Dr. Merten Joost Universität Koblenz Institut für integrierte Naturwissenschaften bteilung Physik email: merten.joost@uni-koblenz.de Grundlagen der Digitaltechnik

Mehr

Darstellung von Informationen

Darstellung von Informationen Darstellung von Informationen Bit, Byte, Speicherzelle und rbeitsspeicher Boolesche Operationen, Gatter, Schaltkreis Bit Speicher (Flipflop) Binär- Hexadezimal und Dezimalzahlensystem, Umrechnungen Zweierkomplement

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Digital Design 4 Schaltwerke

Digital Design 4 Schaltwerke 4 Schaltwerke Schaltwerk: Ausgabevektor hängt nicht nur von Eingabevektor ab, sondern auch von allen bisherigen Eingaben. A(t n ) = f(e(t n ), E(t n-1 ), E(t n-2 ), E(t n-3 ),... E(t 0 ) dazu sind erforderlich:

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

DIGITALTECHNIK 10 SCHIEBEREGISTER

DIGITALTECHNIK 10 SCHIEBEREGISTER Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER Inhalt Seite 2 von 11 1 SCHIEBEREGISTER... 3 2 D-FLIPFLOP... 3 2.1 SCHIEBERICHTUNG RECHTS... 3 2.2 SCHIEBERICHTUNG LINKS... 5 3 TIMINGBEDINGUNGEN... 6 4

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Diplomprüfung Elektronik WS 2007/2008 Donnerstag

Diplomprüfung Elektronik WS 2007/2008 Donnerstag FH München F 3 Maschinenbau Diplomprüfung Elektronik WS 27/28 Donnerstag 3..28 Prof. Dr. Höcht (Prof. Dr. ortstock) Zugelassene Hilfsmittel: Alle eigenen Dauer der Prüfung: 9 Minuten Name: Vorname: Sem.:

Mehr

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit Übung 1 Achtung: ld(x) = Logarithmus dualis: ld(x) = log(x)/log(2) = ln(x)/ln(2)! Aufgabe 1 Frage: Wie gross ist der Informationsgehalt einer zufällig aus einem Stapel von 52 Bridgekarten gezogenen Spielkarte?

Mehr

Stand: 09.07.2001 Seite 4-1

Stand: 09.07.2001 Seite 4-1 Grundlagen lektronik Inhaltsverzeichnis: Thema ereiche Seite Grundlagen Digitaltechnik Unterschied nalog- bzw. Digitalsignale 4-3 Zahlensysteme inär, Octal, Dezimal, Hexadezimal 4-3 CD-Code 4-3 Verknüpfungsglieder

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Sucosoft S40 KOP/FBS KOP FBS

Sucosoft S40 KOP/FBS KOP FBS Sucosoft S40 KOP/FBS KOP FBS Grafische Elemente Netzwerke erstellen Netzwerke erstellen Programme werden in KOP durch grafische Elemente dargestellt. Abfrage einer Variable auf den Zustand 1 Abfrage einer

Mehr

JMPCN Sprungbefehl nur ausführen, wenn VKE 0 ist. JMPC Sprungbefehl nur ausführen, wenn VKE 1 ist. JMP Ohne Bedingung zur Sprungmarke wechseln.

JMPCN Sprungbefehl nur ausführen, wenn VKE 0 ist. JMPC Sprungbefehl nur ausführen, wenn VKE 1 ist. JMP Ohne Bedingung zur Sprungmarke wechseln. Grafische Elemente Netzwerke erstellen Netzwerke erstellen Programme werden in KOP durch grafische Elemente dargestellt. Abfrage einer Variable auf den Zustand 1 Abfrage einer Variable auf den Zustand

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Digital-Experimentiersystem Indigel

Digital-Experimentiersystem Indigel Digital-Experimentiersystem Indigel Jean-Daniel Pouget Walter Kuhn Institut für Informatik Uni asel Mittlere Straße 4 CH-456 asel 6. Januar 995 Zusammenfassung Das Experimentiersystem Indigel erlaubt es,

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44 Aufgabe 33 a) Der Pseudobefehl move $rd,$rs wird als addu $rd,$0,$rs übersetzt. Dabei macht sich SPIM zunutze, dass das Register $0 immer Null ist. Somit wird das Register $rd ersetzt durch $rd=0+$rs=$rs,

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

1. Inhaltsverzeichnis

1. Inhaltsverzeichnis Mikrocomputer Simulator Inhaltsverzeichnis _ 2 _ 1. Inhaltsverzeichnis 1. INHALTSVERZEICHNIS...2 2. AUFBAU UND BEDIENUNG...3 2.1. EINFÜHRUNG...3 2.1.1. TECHNISCHE DATEN... 3 2.1.2. VERWENDUNGSZWECK...

Mehr

Grundlagen der Technischen Informatik. 2. Übung

Grundlagen der Technischen Informatik. 2. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Organisatorisches Übungsblätter zuhause vorbereiten! In der Übung an der Tafel vorrechnen! Bei

Mehr

MATHEMATIK-WETTBEWERB 1996/97 DES LANDES HESSEN

MATHEMATIK-WETTBEWERB 1996/97 DES LANDES HESSEN MTMTIK-TTR 1996/97 DS DS SS DR RPP P I C T 1. ährend eines Sonderverkaufs zum 15-jährigen irmenjubiläum gewährt die irma lektro-c auf alle adenpreise einen Rabatt von 15 %. a) in Staubsauger kostete vor

Mehr