Übung 7: VHDL Automaten

Größe: px
Ab Seite anzeigen:

Download "Übung 7: VHDL Automaten"

Transkript

1 Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten und den kombinatorischen Prozess und bestimmen Sie die erwartete Anzahl von FFs. library ieee; use ieee.std_logic_1164.all; ENTITY eth_fsm_3proc is port ( clk : IN std_logic; reset, d, n : IN std_logic; Z : OUT std_logic); end eth_fsm_3proc; ARCHITECTURE synth OF eth_fsm_3proc IS type t_state IS (s_e1, s_e2, s_e3); signal s_state : t_state; signal s_nextstate : t_state; BEGIN fsm_steuer: PROCESS (s_state, d, n) begin -- Default Statement s_nextstate <= s_state; case s_state is when s_e1 => if (d = '1') then s_nextstate <= s_e3; elsif (n = '1') then s_nextstate <= s_e2; when s_e2 => if (d = '1') then s_nextstate <= s_e1; elsif (n = '1') then s_nextstate <= s_e3; when s_e3 => if (d = '1') then s_nextstate <= s_e2; elsif (n = '1') then s_nextstate <= s_e1; when others => s_nextstate <= s_e1; end case; end process fsm_steuer; fsm_ausgang: PROCESS (s_state, d, n) BEGIN -- Default Statement Z <= '0'; case s_state is when s_e2 => if (d = '1') then z <= '1'; when s_e3 => if (d = '1') then z <= '1'; elsif (n = '1') then z <= '1'; when others => Z <= '0'; end case; end process fsm_ausgang; process (clk, reset) begin if (reset = '1') then s_state <= s_e1; elsif (clk'event and clk = '1') then s_state <= s_nextstate; end process; end synth; Quelle: Seite 1/11

2 Aufgabe 2 Aufzugssteuerung. Entwerfen Sie eine Aufzugssteuerung für einen Aufzug, der zwischen dem Keller und Erdgeschoss hin und her pendelt. Drückt man auf eine der Aufzugs Ruf Tasten (RUFE im Erdgeschoss oder RUFK im Keller), speichert der Automat zunächst die gewünschte Funktion. Sofern beide Türen geschlossen sind, Türkontakte TKE (Erdgeschoss) und TKK (Keller) inaktiv. werden beide Türen verriegelt (Magnet VRE und VRK aktiv) und der Aufzugsmotor setzt sich in Bewegung. Hinweis: Signal TKE und TKK können sie verodern, da immer erst beide Türen geschlossen sein müssen damit der Aufzug anfahren darf. In der Kabine befindet sich ein Kontakt (KK) der, sobald der Aufzug in einem der beiden Stockwerke ankommt, betätigt wird. In diesem Fall stellt der Motor ab und die entsprechende Türe wird entriegelt. Beachten Sie, dass sich nur die Türe entriegeln darf, die sich im gleichen Stockwerk wie die Aufzugskabine befindet. M MAB MAUF VRE RUFE 5V 5V KK TKE VRK RUFK 5V TKK Die Eingangs- und Ausgangssignale sind folgender masse definiert: RUFE Eingang RUFE = 1:Benutzer möchte in das Erdgeschoss RUFK Eingang RUFK = 1:Benutzer möchte in den Keller KK Eingang KK = 1: Der Aufzug befindet sich in einem der Stockwerke TKE Eingang TKE = 1: Türe im Erdgeschoss ist geöffnet TKK Eingang TKK = 1: Türe im Keller ist geöffnet MAB Ausgang MAB = 1: Motor dreht in Abwärtsrichtung MAUF Ausgang MAUF = 1: Motor dreht in Aufwärtsrichtung VRK Ausgang VRK = 1: Verriegelung Keller VRE Ausgang VRE = 1: Verriegelung Erdgeschoss Seite 2/11

3 Erde 000 Fahrte 110 Speicherk 001 Speichere 101 Fahrtk 010 Keller 100 Die Zustände bedeuten: Erde: Der Aufzug befindet sich im Ruhezustand im Erdgeschoss. Keller: Der Aufzug befindet sich im Ruhezustand im Keller. Speicherk: Nachdem jemand den Rufknopf im Keller gedrückt hat. Speichere: Nachdem jemand den Rufknopf im Erdgeschoss gedrückt hat. Fahrte: Der Aufzug fährt vom Keller zum Erdgeschoss. Fahrtk: Der Aufzug fährt vom Erdgeschoss zum Keller a) Zeichnen Sie das Block Diagramm des Automaten mit allen Signal Ein- Ausgängen, FlipFlops, und Steuer- und Ausgangslogik. b) Vervollständigen Sie das Zustandsdiagram. c) Zeichnen Sie eine Zustandstabelle mit den Ansteuerungsgleichungen der D-FFs der FSM welche alle Eingänge, Ausgänge, aktuelle Zustände und Folgezustände zeigt. Für Eingänge die irrelevant sind dürfen Sie don t cares benutzen. d) Wie verfahren sie mit einem Zustand, der nicht im Automaten definiert ist? Addieren Sie dies wenn nötig zu Ihrer Zustandstabelle und dem Zustandsdiagram. e) Wenn der Strom ausfällt während der Aufzug in Benutzung ist, werden alle Zustände des Automaten gelöscht. Schlagen Sie eine Lösung vor, so dass der Aufzug nach einem Stromausfall wieder in einen der Ausgangszustände Erde oder Keller fährt. Tragen Sie diese Lösung in Ihr Zustandsdiagram ein. f) Wie könne Sie die Anzahl der Zustände reduzieren, wenn Sie einen Mealy Automaten einsetzen. Zeichnen Sie das Zustandsdiagram und die Zustandstabelle der Aufzugsteuerung als Mealy Automat mit allen Übergangsbedingungen, Ein- und Ausgangszuständen. Sie dürfen don t cares benutzen. Seite 3/11

4 Aufgabe 3 Steuerung eines Getränkeautomaten. Die Lichtschranke im Geldeinwurf eines Getränkeautomaten erkennt 1 Franken Münzen und erzeugt pro Frankenstück einen Signalimpuls genannt Franken. Die Münzen werden nach Einwurf zunächst in einer Halteröhre gehalten. Nachdem 2 x 1 Franken eingeworfen wurden, wird automatisch ein Getränk ausgegeben. Die Ausgabe des Getränks erfolgt durch eine elektromagnetisch betätigte Klappe, die durch ein Signal Getränkeausgabe angesteuert wird. Gleichzeitig wird durch einen elektromagnetischen Schieber, der durch das Signal Kassieren aktiviert wird, das Geld vom Halteschlitz in einen Sammelbehälter befördert. Durch Drücken der Taste Geldrückgabe wird ein vorher eingeworfenes Frankenstück vor der Ausgabe des Getränkes wieder zurückgegeben. Dieser Elektromagnet wird mit Signal Geldauswurf betätigt. Befinden sich keine Getränke mehr im Automaten mehr, was durch Leer signalisiert wird, wird Signal Geldauswurf bei jedem Münzeinwurf sofort aktiviert. Alle Eingangsund Ausgangssignale sind High-Aktiv und werden mit der Breite eines Taktsignales aktiviert. (a) Zeichnen Sie das RTL Diagramm eines Automaten nach Moore mit den oben beschriebenen Signalen. (b) Zeichnen sie ein sauber lesbares Zustandsdiagramm für a) mit allen Zuständen, Übergangsbedingungen und Zustandscodierungen. (c) Bei einem Automaten nach Medvedev wird jedes Ausgangssignal direkt von einem Zustands- Flip-Flop angesteuert; ohne Ausgangslogik. Ändern sie den Automaten nach Medvedev und bestimmen sie die neue Zustandskodierung. Zeichnen sie ein neues RTL Diagramm mit allen Signalen und ein neues Zustandsdiagramm mit allen Zuständen, Übergangsbedingungen und Zustandscodierungen. Seite 4/11

5 Musterlösung Aufgabe 1 (a)!d & n d d reset z s_e1 <= 0 s_e3 z <= d # n s_e1!d &!n s_e3!d &!n s_e2 s_e2 Z <= d!d &!n!d & n!d & n d (b) n s_nextstate clk d n Steuer Logik D Q!Q 2 D-FF s_state Ausgangs Logik z reset Seite 5/11

6 Aufgabe 2 (a) (b) RufK = 0 Reset KK = 1 Erde 000 RufK = 1 KK = 0 VRE <= 0 MAUF <= 0 TKE = 1 oder TKK = 1 FahrtE 110 MAUF <= 1 VRK <= 1 SpeicherK 001 TKE = 0 und TKK = 0 TKE = 0 und TKK = 0 SpeicherE 101 VRE <= 1 MAB <= 1 FahrtK 010 TKE = 1 oder TKK = 1 VRK <= 0 MAB <= 0 KK = 0 RufE = 1 Keller 100 KK = 1 RufE = 0 Seite 6/11

7 Aufgabe 2 ( c & d & e ) Zustandstabelle für Mooreautomat Eingänge Gegenwärtiger Zustand Ausgänge RUFE RUFK KK TKE # TKK Q2 Q1 Q0 Q2 Q1 Q0 x 0 x x x 1 x x s_erde x x x x x x 0 s_speicherk x x 0 x x x 1 x s_fahrtk x x x x x x s_keller x x x x x x 0 s_speichere x x 0 x x x 1 x s_fahrte x x x x Undefinierter Zustand x x x Ausgangstabelle für Mooreautomat Q2 Q1 Q0 MAB MAUF VRK VRE s_erde s_speiecherk s_fahrtk undefiniert s_keller s_speichere s_fahrt_e undefiniert Seite 7/11

8 Aufgabe 2 ( c & d) )Ansteuerungsgleichungen fsm_steuer: PROCESS (s_state,rufe, rufk, kk, tke, tkk ) begin case s_state is when s_erde => if (rufk = '1') then s_nextstate <= s_speicherk; s_nextstate <= s_erde; when s_speicherk => if ((tke = '1') or (tkk = '1')) then s_nextstate <= s_fahrtk; s_nextstate <= s_speicherk; when s_fahrtk => if (kk = '1') then s_nextstate <= s_keller; s_nextstate <= s_fahrtk; when s_keller => if (rufe = '1') then s_nextstate <= s_speichere; s_nextstate <= s_keller; when s_speichere => if (tke = '1')or (tkk = '1') then s_nextstate <= s_fahrtk; s_nextstate <= s_speicherk; when s_fahrte => if (kk = '1') then s_nextstate <= s_erde; s_nextstate <= s_fahrte; when others => s_nextstate <= s_fahrte; end case; end process fsm_steuer; Aufgabe 2 (e) Wenn der Strom wiederkommt, muss man sicherstellen, dass der Aufzug wieder in einer der Stockwerke zurückfährt, falls sich der Stromausfall während der Fahrt ereignet hat. Am besten geht man in den Zustand Fahrte. Dann fährt der Aufzug wieder ins Erdgeschoss zurück. Seite 8/11

9 Aufgabe 2 (f) RufK = 0 KK = 1 Erde 00 RufK = 1 VRE <= 0 MAUF <= 0 Reset KK = 0 SpeicherE 11 IF TKE = 0 und TKK = 0 ELSE MAUF <= 1 VRK <= 1 MAUF <= 0 VRK <= 0 IF TKE = 0 und TKK = 0 VRE <= 1 MAB <= 1 ELSE VRE <= 0 MAB <= 0 SpeicherK 01 KK = 0 VRK <= 0 MAB <= 0 RufE = 1 Keller 10 KK = 1 RufE = 0 TKE = 0 und TKK = 0 Aufgabe 2 (f) Zustandstabelle des Mealy Automaten Eingänge Gegenw. Zustand Folgezustand RUFE RUFK KK TKE # TKK Q1 Q0 Q1 Q0 erde x 0 x x x 1 x x speicherk x x 0 x x x 1 x keller 0 x x x x x x speichere x x 0 x x x 1 x Ausgangstabelle des Mealy Automaten Eingänge Ausgänge TK Q1 Q0 MAB MAUF VRK VRE erde x speicherk keller x speichere Seite 9/11

10 Aufgabe 3 (a) Steuerlogik Ausgangslogik (b)! Franken Getränkeausgabe Idle 00 Leer & Franken Franken &!leer!geldrückgabe &!Franken Franken 1 Franken 01 Geldrückgabe &!Franken Ausgabe Geldausw Seite 10/11

11 Aufgabe 1 (c) 000 Geldauswur Kassieren/ Getränkeausgab Seite 11/11

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. r. Franz J. Rammig Paderborn, 7..22.Böke,P.hivukula Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" ommersemester 22. Teil: GTI er erste Teil

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018 Stefan Dumler Friedrichshafener Str. 1 87439 Kempten E-Mail: stdumler@web.de Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring 64 13159 Berlin Kempten, 24. Mai 2018 Sehr geehrter Herr Schulz, das auf Ihrer

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn,.3. C. Böke, C. Ditze Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Wintersemester 999/. Teil: GTI Der erste

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Digitale Steuerungen

Digitale Steuerungen Digitale Steuerungen Digitale Steuerungen mit Crocodile Technology Realschule Duisburg Rheinhausen Seite 1 / 9 Oberfläche von Crocodile Technology Hauptmenu Digitale Komponenten Eingabe Logik-Gatter Mikroprozessoren

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

3 Entwurf digitaler Systeme mit ASM-Diagrammen

3 Entwurf digitaler Systeme mit ASM-Diagrammen 3 Entwurf digitaler Systeme mit ASM-Diagrammen (ASM = Algorithmic State Machine) ASMs dienen der Beschreibung von Zustandsautomaten auf einer höheren (algorithmischen) Abstraktionsebene. Sie beschreiben

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

19 Kombinatorische Standardschaltungen

19 Kombinatorische Standardschaltungen ochschule für Angewandte Wissenschaften amburg FACBEREIC EEKTROTECNIK 9 Kombinatorische Standardschaltungen Was sind kombinatorische Standardschaltungen? Größere und komplexere ogikschaltungen verwenden

Mehr

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2

5. Aufgabenkomplex. Übung und Seminar zur Vorlesung. Grundlagen der Technischen Informatik 2 Sommersemester 2 Übung und Seminar zur Vorlesung Grundlagen der Technischen Informatik 2 5. Aufgabenkomplex 9.6.29 Johannisgasse 26 43 Leipzig Telefon: +49 (34) 97-3223 Telefax: +49 (34) 97-32252 . Aufgabe.

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm 2. Übung: Flipflops und Automaten 1. Aufgabe: Steuerungslogik für Kaffeeautomat Erstellen Sie eine Steuerungslogik für einen einfachen Kaffeautomaten mithilfe eines Mealy-Automats. Ein Becher Kaffee kostet

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

Lernaufgabe : Torsteuerung mit: Auto/HAND/Tipp-Betrieb; Lichtschranke; Störmeldung u. Anzeigen 1. Aufgabenstellung

Lernaufgabe : Torsteuerung mit: Auto/HAND/Tipp-Betrieb; Lichtschranke; Störmeldung u. Anzeigen 1. Aufgabenstellung Lernaufgaben Torsteuerung Folie 1 1. Aufgabenstellung Ein Werkstor wird mit einem Elektromotor auf und zu gesteuert. Die Ansteuerung des Elektromotors erfolgt mit den Leistungsschützen Q1 (Tor auf) und

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Teil 1: Logik 1e: Zustandsautomaten

Teil 1: Logik 1e: Zustandsautomaten Synchroner Zähler als sautomat Teil 1: 1e: sautomaten Betrachte Zählerstand als Übergänge zwischen 0,1,2,3 ohne externe Randbedingungen 0 3 1 2 sautomat (1) sautomat (2) sspeicher sspeicher Wenn 2, dann

Mehr

IHS2 Seminar. Simulation. Steffen Ostendorff

IHS2 Seminar. Simulation. Steffen Ostendorff Simulation Steffen Ostendorff BlockM, R602, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 06 December 2010 Self-Organization 08 December 2010 1 Inhalt des Seminars

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr