System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

Größe: px
Ab Seite anzeigen:

Download "System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen"

Transkript

1 System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther Lehmann, Bernhard Wunder, Manfred Selz - Schaltungsdesign mit VHDL R. Walker, D. Thomas - A Model of Design Representation and Synthesis Peter Asheden - The VHDL Cookbook VHDL Online Tutorial ISE Tutorial Spartan-3E Starter-Kit User Guide Arbeiten Sie sich in die Hardwarebeschreibungssprache VHDL und in das Entwicklungswerkzeug ISE (Integrated Software Environment) von Xilinx ein (siehe Quellen). Im Modelbaubereich werden kleine Elektromotoren (Servos) für Steuerung von Flugzeugen, Autos oder Booten eingesetzt. Die Ansteuerung eines Servos erfolgt durch periodische Impulse (siehe Abbildung 1). Die Frequenz beträgt dabei 50 Hz und die Länge des Impulses (Pulsweitenmodulation) koreliert linear mit der Stellung des Servos: 1 ms = Linksanschlag, 1.5 ms = Mittelstellung und 2 ms = Rechtsanschlag. Aufgabe 1. Ansteuerung eines Modellbau-Servos a) Beschreiben Sie ein Hardwaremodul pulse_generator zur Ansteuerung eines Servos in VHDL mit dem Eingang control_value, dem vorzeichenbehaftete Stellwert, und dem Ausgang pulse für das PWM-Signal: ENTITY p u l s e g e n e r a t o r IS GENERIC( CLOCK TICKS FOR 250KHZ : p o s i t i v e ) ; c o n t r o l v a l u e : IN signed (0 to 7) ; p ulse : OUT s t d l o g i c ) ; END p u l s e g e n e r a t o r ; Übungskoordination: Dipl.-Inf. Felix Mühlbauer, Dipl.-Ing. Philipp Mahr 1

2 Abbildung 1: Pulsweitenmodulation control_value = 0 soll der Mittelstellung des Servos entsprechen, negative Werte einer Drehung nach links bzw. positive Werte nach rechts. Verwenden Sie für die Implementierung einen 250 khz Zähler. Für diesen soll CLOCK_ TICKS_FOR_250KHZ die Anzahl der Zyklen vom Systemtakt (hier: 50 MHz) clk angeben. Hinweis: Der Wertebereich von control_value wird auf diese Weise nicht vollständig ausgenutzt! Warum? b) Schreiben sie eine Testbench in VHDL und überprüfen Sie die Funktionalität Ihrer pulse_generator Komponente durch Simulation des Verhaltens vor der Synthese UND nach der Platzierung und Verdrahtung. Erst wenn die Funktionalität in beiden Simulationen identisch ist, ist Ihre Implementierung auch auf einem FPGA lauffähig. c) Beschreiben Sie eine Top-Komponente mit VHDL, die als Eingabe die Stellung der Schalter (slide switches) des Spartan3E-Boards bekommt und als Ausgabe das Signal pulse erzeugt. Instanziieren Sie dazu Ihre pulse_generator Komponete und schließen Sie für einen einfachen Test die vier Schalter an control_value an (z. B. an Bit 6-3). Benutzen Sie folgende Schnittstelle: ENTITY t o p s e r vo IS s w i t c h e s : IN s t d l o g i c v e c t o r (0 to 3) ; p ulse : OUT s t d l o g i c ) ; END t o p s e r vo ; d) Schreiben Sie analog zu Aufgabenteil b) eine Testbench und überprüfen Sie die Funktionalität Ihrer Implementierung. e) Praktische Übung zum Anschließen eines Servos an das FPGA-Board. Mehr Details später... f) Überprüfen Sie nun Ihre Schaltung mit einem Oszilloskop und einem Servo. Dazu müssen Sie die Ein- und Ausgangspins des Spartan3E-Board mit den Ein-und Ausgängen Ihrere Komponeten verknüpfen (siehe UCF-Datei). Übungskoordination: Dipl.-Inf. Felix Mühlbauer, Dipl.-Ing. Philipp Mahr 2

3 Aufgabe 2. Abtasten eines Servo-Steuersignals a) Beschreiben Sie eine Komponete pulse_analyser, die das inverse Verhalten zur Komponente pulse_generator besitzt. ENTITY p u l s e a n a l y s e r IS GENERIC( CLOCK TICKS FOR 250KHZ : p o s i t i v e ) ; p ulse : IN s t d l o g i c ; s e r v o v a l u e : OUT signed (0 to 7) ) ; END p u l s e a n a l y s e r ; b) Schreiben Sie eine Testbench und überprüfen Sie die Funktionalität der Pulsanalyse analog zur Aufgabe 1b. c) Schreiben Sie eine neue Top-Komponete top_analyser_test, die als Eingabe die Werte der Schalter bekommt (äquivalent zu Aufgabe 1c) und als Ausgabe den abgetasteten Wert an die LEDs des Spartan3E Starter-Kits übergibt. Verbinden Sie dazu die pulse_generator Komponente mit der pulse_analyser Komponente. ENTITY t o p a n a l y s e r t e s t IS s w i t c h e s : IN s t d l o g i c v e c t o r (0 to 3) ; l e d : OUT s t d l o g i c v e c t o r (0 to 7) ) ; END t o p a n a l y s e r t e s t ; d) Schreiben Sie eine Testbench und überprüfen Sie die Funktionalität der Top-Komponete. e) Test Sie Ihre Implementierung auf dem Spartan3E-Board. Übungskoordination: Dipl.-Inf. Felix Mühlbauer, Dipl.-Ing. Philipp Mahr 3

4 Aufgabe 3. Abstandsmessung mit Ultraschallsensoren Zur Erfassung seiner Umgebung ist das SoCar mit fünf Distanzmessern (Ultraschallsensoren) ausgestattet (siehe Abbildung 2). Abbildung 2: SoCar mit Ultraschallsensoren (symbolische Abb.) Ein Ultraschallsensor sendet Schallimpulse aus und misst die Zeit bis zum Empfang eines Echos (Reflektion des Schalls an einem Objekt). Die Zeit ist proportional zum Abstand zwischen dem Sensor und einem Objekt. Wegen dieser Funktionsweise können mehrere Sensoren nicht simultan betrieben werden. Beim SoCar sind sie deshalb in einer Kette (daisy chain) verschaltet und zur Vereinfachung die Ausgänge der Sensoren zu einem einzigen Signal zusammengefasst (siehe Abbildung 3). Nachdem ein Startimpuls an den ersten Sensor geschickt wurde, werden die Sensoren der Reihe nach automatisch aktiviert. Erst wenn der letzte Sensor seine Messung abgeschlossen hat, kann erneut ein Messzyklus angestoßen werden. Abbildung 3: Daisy Chain der Ultrschallsensoren a) Studieren Sie das Datenblatt des MaxSonar-EZ3 Ultraschallsensors (siehe SoCar- Internetseite). b) Für die Komponente ultrasonic_sensors soll folgende Schnittstelle verwendet werden: Übungskoordination: Dipl.-Inf. Felix Mühlbauer, Dipl.-Ing. Philipp Mahr 4

5 ENTITY u l t r a s o n i c s e n s o r s IS GENERIC( NUM SENSORS : p o s i t i v e must be g r e a t e r than 1 CLOCK TICKS FOR xxxhz : p o s i t i v e ) ; enable : IN s t d l o g i c ; d i s t a n c e ( per sensor ) in cm d i s t a n c e v e c t o r : OUT s t d l o g i c v e c t o r (0 to (NUM SENSORS 10 1) ) ; impulse i f a new v a l u e ( per sensor ) i s a v a i l a b l e new value : OUT s t d l o g i c v e c t o r (0 to (NUM SENSORS 1) ) ; s t a r t u l t r a s o n i c d i s t a n c e measurement u s s t a r t : OUT s t d l o g i c ; sensor output u s p u l s e : IN s t d l o g i c ) ; END u l t r a s o n i c s e n s o r s ; Ein Messzyklus sieht wie folgt aus: 1. Aktivierung von Signal enable abwarten. 2. Startimpuls an Sensoren senden (siehe Datenblatt). 3. Für jeden Sensor: us_pulse abtasten und Zeit messen; Ausgangsregister distance_vector aktualisieren und einen Impuls (1 clk) über den Ausgang new_ value[current_sensor] senden. Beschreiben Sie die Komponente ultrasonic_sensor. Die gemessenen Abstände (in cm; Genauigkeit: 10 Bit) aller Sensoren sind in dem Vektor distance_vector zusammengefasst. Bestimmen Sie eine geeignete Taktfrequenz für Ihre Komponente und passen Sie CLOCK_TICKS_FOR_xxxHZ entsprechend an. Hinweis: Es ist hilfreich für die Beschreibung der Komponete einen Zustandsautomat zu verwenden. c) Schreiben sie eine Testbench und überprüfen Sie die Funktionalität der ultrasonic_ sensors Komponente. d) Testen Sie Ihre Komponente mit dem FPGA-Board. Beschreiben Sie hierzu eine Top- Komponete top_ultrasonic_sensors_test und instantiieren Sie Ihre ultrasonic_sensors Komponente mit zwei Ultraschallsensoren (NUM_SENSORS = 2). Geben Sie einen gemessenen Distanzwert über die LEDs aus, wobei mit Hilfe von Schalter SW0 zwischen den beiden Sensoren umgeschaltet werden soll. Verbinden Sie zum Starten von Messvorgängen das enable Signal mit einer Oder-Verknüpfung von Schalter SW3 und Taster BTN WEST. Benutzen Sie den Taster BTN SOUTH für das Reset-Signal. Der Testaufbau von zwei kaskadierten Ultraschallsensoren steht zur Verfügung und muss an Pfostenleiste J4 angeschloßen werden (auf Polung achten!). Hinweis: Der Wertebereich von distance_vector wird auf diese Weise nicht vollständig ausgenutzt. Kommentieren Sie Ihren Code! Übungskoordination: Dipl.-Inf. Felix Mühlbauer, Dipl.-Ing. Philipp Mahr 5

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Versuch 4 M_Dongle Servotester. Labor Mikrocontroller mit NUC130. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J. Hampel Dipl.-Ing. (FH) A.

Versuch 4 M_Dongle Servotester. Labor Mikrocontroller mit NUC130. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J. Hampel Dipl.-Ing. (FH) A. Versuch 4 M_Dongle Servotester Labor Mikrocontroller mit NUC130 Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J. Hampel Dipl.-Ing. (FH) A. Reber 11.06.2016 Inhalt 1 Einführung... 2 1.1 Grundlagen Modellbau-Servo...

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Servo-Modul Version

Servo-Modul Version Servo-Modul Version 1.0 24.06.2010 Mit dem Servo-Modul ist es möglich bis zu 8 Modellbau-Servos (analoges Signal) an zu steuern. Die Ansteuerung kann wahlweise über den I2C-Bus, einen COM-Port (RS232)

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller Fachbereich Elektrotechnik und Informatik Labor für Angewandte Informatik und Datenbanken Praktikum Automatisierung/Echtzeitregelung (BAU/BER) Prof.Dr.-Ing. Coersmeier Erzeugen von PWM-Signalen mit dem

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung Anwendungen der Prozessdatenverarbeitung (Liste V) Microcontroller Programmierung Technisches Handbuch Christoph Schulz Patrik Simon Dirk Stein Sommersemester 2005 INHALTSVERZEICHNIS Einführung - 2 - Pinbelegung

Mehr

Ultraschallsensoren von Alexandra Bauer

Ultraschallsensoren von Alexandra Bauer Ultraschallsensoren von Alexandra Bauer - 1 - Inhaltsverzeichnis 1. Funktionsweise von Ultraschallsensoren 1.1. Definition von Ultraschallsensoren S. 3 1.2. Probleme die mit beim Arbeiten mit S. 4 US Sensoren

Mehr

Zwergmodellbau. Drehregler in Siku 2,4GHz Traktoren nutzen ModControl V1.1.x Version

Zwergmodellbau. Drehregler in Siku 2,4GHz Traktoren nutzen ModControl V1.1.x Version Zwergmodellbau Drehregler in Siku 2,4GHz Traktoren nutzen ModControl V1.1.x Version Mit diesem Adapter Chip oder auch Mod Chip können Sie endlich die beiden Drehregler der 2,4GHz Fernsteuerung für Traktoren

Mehr

Ampelsteuerung Merkblatt 1 Wie werden die Bauteile angeschlossen?

Ampelsteuerung Merkblatt 1 Wie werden die Bauteile angeschlossen? 1 Übersicht Wir benutzen zur Steuerung der Ampeln das Arduino-Board, einen Mikrocontroller, an dem die einzelnen Bauelemente, Lampen, Taster und Sensoren, angeschlossen werden. Dafür gehen von jedem Element

Mehr

Einführung in die Arduino-Programmierung II

Einführung in die Arduino-Programmierung II Einführung in die Arduino-Programmierung II Hochschule Niederrhein University of Applied Sciences Elektrotechnik und Informatik Faculty of Electrical Engineering and Computer Science 5. Dimmen einer LED

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

1. Apple - Design, Kult und faszinierende Technik.

1. Apple - Design, Kult und faszinierende Technik. Das Ipod-Feeling Gunther Zielosko 1. Apple - Design, Kult und faszinierende Technik Apple-Produkte waren schon immer etwas Besonderes. Aber besonders die neueren Systeme wie die IPod-Familie und das IPhone

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS OPKUD.

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS OPKUD. Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS & OPKUD http://www.optel.pl email: optel@optel.pl Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Spółka z o.o. ul. Otwarta

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Diplomarbeit. FIR-Filter für Ultra Wide Bandwidth Beamformer

Diplomarbeit. FIR-Filter für Ultra Wide Bandwidth Beamformer Diplomarbeit FIR-Filter für Ultra Wide Bandwidth Beamformer Guido Joormann Universität Duisburg-Essen - Hochfrequenztechnik Prof. Dr.-Ing. K. Solbach G. Joormann FIR-Filter für Ultra Wide Bandwidth Beamformer,

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Elektrische Schnittstelle Signal

Elektrische Schnittstelle Signal Normen Europäischer Modellbahnen Elektrische Schnittstelle Signal NEM 692 Seite 1 von 6 Empfehlung Ausgabe 2011 1. Zweck der Schnittstelle Die Schnittstelle beschreibt für mechanische Signale und Lichtsignale

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Hardware PC DDC JP Elektronik GmbH

Hardware PC DDC JP Elektronik GmbH Hardware JP Elektronik GmbH Hardware für PC-DDC Regler J+M. Pascher Seite 1 von 8 Inhalt: Beschreibung Net-IO Box Seite 2 Beschreibung Box6F Seite 3 Beschreibung BoxOUT6 Seite 4 Beschreibung Raummanager

Mehr

ALLNET Art ALLNET Starter Kit Light UNO R.3

ALLNET Art ALLNET Starter Kit Light UNO R.3 Gilt für Teile im Kit: Abbildungen ähnlich! Anzahl Beschreibung Foto 4duino Board, (Arduino UNO R3 kompatibel) USB-Kabel, Länge ca. 50cm, für Arduino UNO Verbindung zum PC Breadboard/Steckplatine mit 830

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

LEGO MINDSTORMS NXT MIT LABVIEW 2009 PROGRAMMIEREN

LEGO MINDSTORMS NXT MIT LABVIEW 2009 PROGRAMMIEREN LEGO MINDSTORMS NXT MIT LABVIEW 2009 PROGRAMMIEREN Prof. Dr.-Ing. Dahlkemper Fabian Schwartau Patrick Voigt 1 NXT DIRECT COMMANDS Es gibt zwei verschiedene Möglichkeiten, den NXT zu programmieren: Es werden

Mehr

Aufbau und Dokumentation einer Experimentierplattform für automotive Softwareentwicklung

Aufbau und Dokumentation einer Experimentierplattform für automotive Softwareentwicklung Aufbau und Dokumentation einer Experimentierplattform für automotive Softwareentwicklung Bachelorarbeit Bachelorant: Daniel Noack Betreuer: Prof. Dr. Olaf Spinczyk Dr. Michael Engel 1 Themenüberblick Thema

Mehr

Arduino Grundkurs. 3. Schreibe eine Funktion für das Morsezeichen S und eine für O

Arduino Grundkurs. 3. Schreibe eine Funktion für das Morsezeichen S und eine für O Grundkurs LEDs Piezolautsprecher 1. Mikrocontroller Hello World Bring eine LED zum Blinken 1s ein / 1s aus usw. 2. Lichtmorsen - SOS Erzeuge Morsezeichen mit deiner LED SOS = - - - Punkt = 0.2s Strich

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

ND556. Ausgangsstrom 1,4-5,6 A. Isolationsfestigkeit 500 M. Mechanische Spezifikationen (Einheit: mm, 1 inch = 25,4 mm)

ND556. Ausgangsstrom 1,4-5,6 A. Isolationsfestigkeit 500 M. Mechanische Spezifikationen (Einheit: mm, 1 inch = 25,4 mm) 3. Anwendungen Geeignet für die mittleren und kleinen automatisierten Anlagen und Geräte, wie CNC-Maschinen, Laser-Schneider, Plotter usw. Der ND556 ist speziell auf extrem niedriges Rauschen, geringe

Mehr

Manuelle Konfiguration

Manuelle Konfiguration Manuelle Konfiguration 1 Manuelle Konfiguration Zur Konfiguration der Fahrzeugsteuerung kann die Datei system.ini auf der mitgelieferten SD-Speicherkarte mit einem Texteditor geöffnet und manuell verändert

Mehr

Jan Monsch. Donnerstag, 2. Mai 13

Jan Monsch. Donnerstag, 2. Mai 13 101 Jan Monsch Agenda Arduino Platform Digitale Ausgaben Analoge Eingänge Digitale Eingaben I2C Geräte (Digitales Thermometer) Arduino SW Download goo.gl/dj5l2 Was ist Arduino? Open Source Einplatinen-Computer

Mehr

Application Note. PiXtend mit Hilfe der Control- & Status-Bytes konfigurieren und überwachen. Stand , V1.06

Application Note. PiXtend mit Hilfe der Control- & Status-Bytes konfigurieren und überwachen. Stand , V1.06 Application Note PiXtend mit Hilfe der Control- & Status-Bytes konfigurieren und überwachen Stand 21.02.2017, V1.06 Qube Solutions UG (haftungsbeschränkt) Arbachtalstr. 6, 72800 Eningen, Deutschland http://www.qube-solutions.de/

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren Signalprozessoren und Kommunikationscontroller für den Schwerpunkt Telekommunikationstechnik: für alle anderen Schwerpunkte: Pflichtfach Wahlpflichtfach Inhalte der Vorlesung Signalprozessoren Systemarchitekturen

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Die Robo-TX RS-485 Schnittstelle

Die Robo-TX RS-485 Schnittstelle Die Robo-TX RS-485 Schnittstelle Die Idee... Gleich nach dem Kauf des TX fiel mir in der Anleitung auf, dass auf den Extension-Anschlüssen nur die RS-485-Schnittstelle auf beiden vorkommt. Somit war klar,

Mehr

Kennenlernen der Laborgeräte und des Experimentier-Boards

Kennenlernen der Laborgeräte und des Experimentier-Boards Kennenlernen der Laborgeräte und des Experimentier-Boards 1 Zielstellung des Versuches In diesem Praktikumsversuch werden Sie mit den eingesetzten Laborgeräten vertraut gemacht. Es werden verschiedene

Mehr

Modernes Schaltungsdesign. FPGA Praktikum

Modernes Schaltungsdesign. FPGA Praktikum Modernes Schaltungsdesign FPGA Praktikum am II. Physikalischen Institut Justus-Liebig-Universität Gießen Stand 17-11-2004 5 Einleitung Das Praktikum beginnt mit einer Einführungsvorlesung. Die Themen dieser

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Microcontroller Programmierung. Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0

Microcontroller Programmierung. Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0 Microcontroller Programmierung Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0 Inhalt Einführung Die Hardware Die Schaltlogik Implementierung der Steuerung

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

ATmega169 Chip: Pin-Layout

ATmega169 Chip: Pin-Layout ATmega169 Chip: Pin-Layout Die logische Schnittstelle der Funktionseinheit MCU (Microcontroller Unit) entspricht der physikalischen Schnittstelle der Baueinheit (Chip). Für die Maschinenbefehle sind nur

Mehr

ALLNET 4duino Starter Kit LIGHT

ALLNET 4duino Starter Kit LIGHT ALLNET 4duino Starter Kit LIGHT Experimentierkasten 4duino Einplatinencomputer Kit zum Einstieg 27 verschiedene Komponenten Komponenten sind in einem praktischen Sortierkasten untergebracht Artikel: 114568

Mehr

HSD FB E I. Hochschule Düsseldorf Fachbereich Elektro- und Informationstechnik. Datum: WS/SS Gruppe: S Q. Teilnehmer Name Matr.-Nr.

HSD FB E I. Hochschule Düsseldorf Fachbereich Elektro- und Informationstechnik. Datum: WS/SS Gruppe: S Q. Teilnehmer Name Matr.-Nr. HSD FB E I Hochschule Düsseldorf Fachbereich Elektro- und Informationstechnik Schaltungs-Praktikum bistabiler Multivibrator Datum: WS/SS 201.. Gruppe: S Teilnehmer Name Matr.-Nr. 1 2 3 Testat R verwendete

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

ME742 Mikroschritt-Endstufe

ME742 Mikroschritt-Endstufe ME742 Mikroschritt-Endstufe Die ME742 ist eine leistungsstarke Mikroschritt-Endstufe mit reiner Sinus Spannung. Besonders geeignet für Anwendungen wo extrem saubere Laufruhe und geringe Wärmeentwicklung

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen Xilinx ISE Tutorial 1 Einführung Die HaDePrak-DLX wird mithilfe der Xilinx ISE Software aufgebaut. Sie integriert einen Editor für Hardwarebeschreibungssprachen, ein Eingabeprogramm für schematische Layouts

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese

D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese .9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abgabedatum: 25.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) Aufbau des Gesamtsystems amit ein funktionsfähiges

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS OPBOX. http://www.optel.pl email: optel@optel.

Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS OPBOX. http://www.optel.pl email: optel@optel. Research & Development Ultrasonic Technology / Fingerprint recognition DATA SHEETS & OPBOX http://www.optel.pl email: optel@optel.pl Przedsiębiorstwo Badawczo-Produkcyjne OPTEL Spółka z o.o. ul. Otwarta

Mehr

Experimentierplatine LC4128

Experimentierplatine LC4128 Beschreibung PLD-Experimentierplatine LC4128 Seite 1 von 1 Experimentierplatine LC4128 Jumper: IC-Versorgung über Netzteil oder USB Netzteil-Anschluss 7,5V Buchse V-Netzteil als Ubatt für Verbraucher an

Mehr

Hardware Beschreibung

Hardware Beschreibung E2000-PLUS Hardware Beschreibung Wir übernehmen keine Haftung für Schäden, die durch einen Nachbau einer dieser Schaltung entstehen. Dieses Dokument ist noch in der Entstehung und kann Lücken aufweisen!

Mehr

UART und Interrupts. Versuch Nr. 7

UART und Interrupts. Versuch Nr. 7 Universität Koblenz Landau Name:..... Institut für Physik Vorname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... UART und Interrupts Versuch Nr. 7 Vorkenntnisse: Aufbau und Arbeitsweise einer

Mehr

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform Einführung in das Programmieren in der Sekundarstufe 1 mit einem Arduino und der Physical-Computing-Plattform 1 Arduino mit Breadboard (Steckbrett) und LED mit Vorwiderstand Arduino-Board Uno R3 mit Mikrocontroller

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Einführung in die Netzwerkanalyse

Einführung in die Netzwerkanalyse Einführung in die Netzwerkanalyse am Beispiel des DG8SAQ-Networkanalyzers Horst Germann DL6NDW DARC OV München-Nord, C12 3. Mai 2011 1 Was kann man messen? Eintore (Zweipole): Antennen Antennen mit Anschlußkabeln...

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial

3. Hardware CPLD XC9536 von Xilinx. CPLD / FPGA Tutorial 3. Hardware 3.1. CPLD XC9536 von Xilinx Programmierbare Logikbausteine sind in unzähligen Varianten verfügbar. Die Baugrößen reichen von 20 bis 1704 Pins. Der Preis beginnt bei wenigen Euro für einfache

Mehr

Institut für Angewandte Mikroelektronik und Datentechnik Fachbereich Elektrotechnik und Informationstechnik Universität Rostock.

Institut für Angewandte Mikroelektronik und Datentechnik Fachbereich Elektrotechnik und Informationstechnik Universität Rostock. Seite 1 Optimierung der Verbindungsstrukturen in Digitalen Neuronalen Netzwerken Workshop on Biologically Inspired Methods on Modelling and Design of Circuits and Systems 5.10.2001 in Ilmenau, Germany

Mehr

Klasse, Name : Datum : Rad l/r Linienfolger l/r Laderaum ATMEGA 128

Klasse, Name : Datum : Rad l/r Linienfolger l/r Laderaum ATMEGA 128 HTL_RoboterDKU.odt Übung : Arbeiten mit dem HTL Leonding Roboter Seite : 1 von 7 1. Roboter Peripherie Eingänge Ausgänge DIGITAL ANA- LG DATEN Taster Kante l/r Rad l/r Linienfolger l/r Laderaum Klappe

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Versuchsanleitung. Labor Mechatronik. Versuch BV_2 Grundlagen der Sensortechnik. (induktive, optische und Ultraschallsensoren)

Versuchsanleitung. Labor Mechatronik. Versuch BV_2 Grundlagen der Sensortechnik. (induktive, optische und Ultraschallsensoren) Fachbereich 2 Ingenieurwissenschaften II Labor Mechatronik Steuerungund Regelung Lehrgebiet: Mechatronik Versuchsanleitung Versuch BV_2 Grundlagen der Sensortechnik (induktive, optische und Ultraschallsensoren)

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

mit wenig Hard- und Software mißt der BASIC-Tiger die Drehzahl verschiedenster Objekte

mit wenig Hard- und Software mißt der BASIC-Tiger die Drehzahl verschiedenster Objekte Drehzahlmesser Gunther Zielosko 1. Einführung Wer gern mit Motoren oder anderen Maschinen experimentiert, möchte hin und wieder die Drehzahl eines rotierenden Teiles messen können. Besonders Modellbauer

Mehr

Digitale Steuerung. Hardwarepraktikum für Informatiker Matr. Nr.:... Versuch Nr.5. Vorkenntnisse: Universität Koblenz Landau Name:...

Digitale Steuerung. Hardwarepraktikum für Informatiker Matr. Nr.:... Versuch Nr.5. Vorkenntnisse: Universität Koblenz Landau Name:... Universität Koblenz Landau Name:..... Institut für Physik Vorname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Digitale Steuerung Versuch Nr.5 Vorkenntnisse: Aufbau eines Gleichstrommotors,

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

Installation der Entwicklungsumgebung

Installation der Entwicklungsumgebung Installation der Entwicklungsumgebung bi:e zunächst das Online- Dokument Ge#ng Started with Arduino durcharbeiten h:p://arduino.cc/en/guide/homepage download der Arduino- SoHware h:p://arduino.cc/en/main/sohware

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

MH - Gesellschaft für Hardware/Software mbh

MH - Gesellschaft für Hardware/Software mbh E.d.a.s.VX Mobiles Messwerterfassungssystem Das E.d.a.s.VX System ist für mobile Einsätze am 12 Volt DC Bordnetz designed. Es ist in der Lage Messungen mit einer Summenabtastrate von bis zu 3 000 000 Messwerten

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr