Aufgaben und Lösungen

Größe: px
Ab Seite anzeigen:

Download "Aufgaben und Lösungen"

Transkript

1 Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung übertragen werden. Wir geben zuerst die logische Gleichung für die vorgegebene Schaltung an: Y = ( A 0 ( A 0+ B0) ( A 1+ B1) ) ( A 1 ( A 1+ B1) ) Diese wird in die VHDL-Beschreibung übernommen. Alle Signale besitzen den Datentyp std_logic. entity CMP2 is port ( B1,B0,A1,A0: in std_logic; Y: out std_logic ); end CMP2; architecture CMP2_A of CMP2 is Y<=(A0 and (A0 xor B0) and (A1 xnor B1) or (A1 and (A1 xor B1)); end CMP2_A; Dabei wurde die Negation der Antivalenz ( xor ) durch die Equivalenz ( xnor ) ersetzt.

2 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 Aufgabe 2.2 Eine kombinatorische Schaltung soll die Summe Y[2:0] von zwei zweistelligen Dualzahlen B[1:0] und A[1:0] bilden. Die Summe enthält in der werthöchsten Stelle den Übertrag. Die Aufgabe wird durch die folgende Wahrheitstabelle beschrieben. a) Übertragen Sie die Wahrheitstabelle in eine VHDL-Beschreibung. b) Bestimmen Sie aus der Wahrheitstabelle über KV-Diagramme die minimierten logischen Gleichungen. c) Geben Sie eine nebenläufige VHDL-Beschreibung für die Schaltung unter Benutzung dieser Gleichungen an. d) Schreiben Sie eine VHDL-Testbench und simulieren Sie die VHDL- Lösungen. Lösung 2.2 a) Für die Umsetzung einer Wahrheitstabelle steht in VHDL die nebenläufige with select when-anweisung zur Verfügung. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ADD2 is port ( B,A : in std_logic_vector(1 downto 0); Y : out std_logic_vector(2 downto 0)); end ADD2; architecture ADD2_A1 of ADD2 is

3 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen signal x: std_logic_vector(3 downto 0); x<=b & A; with x select Y <= "000" when "0000", "001" when "0001", "010" when "0010", "011" when "0011", "001" when "0100", "010" when "0101", "011" when "0110", "100" when "0111", "010" when "1000", "011" when "1001", "100" when "1010", "101" when "1011", "011" when "1100", "100" when "1101", "101" when "1110", "110" when others; end ADD2_A1; b) Die VHDL-Beschreibung lässt sich vereinfachen, wenn mit den manuellen Entwurfsverfahren verkürzte Gleichungen abgeleitet werden. Aus der Wahrheitstabelle ergeben sich die folgenden KV-Diagramme: KV-Diagramme Durch Zusammenfassung der größtmöglichen rechteckigen 1 -Blöcke erhalten wir die Gleichungen in der Form der disjunktiven Verknüpfung der Produktterme. Diese werden, soweit möglich, in EXCLUSIV OR Beziehungen umgewandelt: Y 2 = B 1A1 A 1B0 A0 B 1B0 A0 Y 1 = B0 B1 A 1 B0 B1 A 1 A0 B1 A 1 A0 B1 A 1 B0 A0 B 1A1 B 0 A0 B 1 A 1 = B 0 ( A1 + B1 ) A 0 ( A 1+ B1)

4 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 B0 A0 ( A 1B1 A1 B 1 ) = ( B 0 A 0 ) ( A 1+ B1) B 0 A0 ( A 1+ B1) Der erste Term wird mit der demorgan-beziehung umgeformt: = ( B 0 A0 ) ( A 1+ B1) B 0 A0 ( A 1+ B1) = A 0 B0 + A 1+ B1 Y 0 = B 0 A 0 B 0 A 0 = B 0+ A0 c) Die verkürzten Gleichungen werden für eine verkürzte VHDL-Beschreibung genutzt. architecture ADD2_A2 of ADD2 is Y(2)<=(B(1) and A(1)) or (B(0) and A(1) and A(0)) or (B(1) and B(0) and A(0)); Y(1)<=(B(0) and A(0)) xor B(1) xor A(1); Y(0)<=B(0) xor A(0); end ADD2_A2; Die Gleichungen werden nebenläufig, das bedeutet quasiparallel abgearbeitet. d) Die VHDL-Testbench kann in der in Abschnitt 2.8 angegebenen Form formuliert werden. Als Alternative benutzen wir process-anweisungen für die zyklische Erhöhung der Vorgabewerte wie im Abschnitt 3.7. Das automatisch erzeugte Gerüst wird in folgender Weise modifiziert: - Ergänzung der Bibliothek IEEE.std_logic_unsigned.all für die Nutzung der Dualarithmetik - Vorgabe der Anfangswerte für A und B - process-anweisungen für A und B

5 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; use IEEE.std_logic_unsigned.all; -- ergänzt ENTITY add2_1_tbvadd2_vhd_tb IS END add2_1_tbvadd2_vhd_tb; ARCHITECTURE behavior OF add2_1_tbvadd2_vhd_tb IS COMPONENT add2_1 PORT( B : IN std_logic_vector(1 downto 0); A : IN std_logic_vector(1 downto 0); Y : OUT std_logic_vector(2 downto 0) ); END COMPONENT; SIGNAL B : std_logic_vector(1 downto 0):="00"; -- Anfangswert ges. SIGNAL A : std_logic_vector(1 downto 0):="00"; -- Anfangswert ges. SIGNAL Y : std_logic_vector(2 downto 0); BEGIN uut: add2_1 PORT MAP(B => B,A => A,Y => Y); -- *** Test Bench - User Defined Section *** tba : PROCESS BEGIN wait for 100 ns; A <= A+1; END PROCESS tba; tbb : PROCESS BEGIN wait for 400 ns; B <= B+1; END PROCESS tbb; -- *** End Test Bench - User Defined Section *** END; Damit erhalten wir das folgende Simulationsergebnis: Simulationsergebnis für zweistufigen Addierer In der Simulation treten alle Eingangssignalkombinationen auf. In der Dezimaldarstellung ist die Beziehung Y = A + B in allen Fällen gut ablesbar. Unter der Dezimaldarstellung ist die binäre Darstellung angegeben.

6 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 Aufgabe 2.3 Für die Aufgabenstellung nach Aufgabe 2.1 sollen weitere VHDL-Beschreibungen angegeben werden. a) Übertragen Sie die Lösung für die verkürzten Gleichungen in ein Schaltbild b) Geben Sie eine VHDL-Verhaltensbeschreibung mit den Funktionsbeziehungen der Logikelemente des Schaltbildes an. c) Geben Sie für das Schaltbild eine VHDL-Strukturbeschreibung an. Lösung 2.3 a) Die verkürzten Gleichungen ergeben das folgende Schaltbild: b) Eine weitere VHDL-Verhaltensbeschreibung ergibt sich aus den Gleichungen der Einzelelemente des Schaltbildes: architecture ADD2_A3 of ADD2 is signal p4,p3,p2,p1: std_logic; p1<=b(1) and A(1); p2<=b(0) and A(1) and A(0); p3<=b(1) and B(0) and A(0); p4<=b(0) and A(0); Y(2)<=p1 or p2 or p3; Y(1)<=p4 xor B(1) xor A(1); Y(0)<=B(0) xor A(0); end ADD2_A3;

7 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen c) Die Einzelelemente des Schaltbildes können auch in einer VHDL- Strukturbeschreibung angegeben werden. Für die Einzelelemente können die logischen Funktionen in eigenen VHL-Modulen beschrieben werden. Es können auch die vordefinierten Bibliothekselemente der XILINX-Bibliothek mit den vorgegebenen Elemente- und Port-Bezeichnungen benutzt werden. Dafür ist die Angabe der Bibliothek UNISIM notwendig. library UNISIM; use UNISIM.VComponents.all; architecture ADD2_A4 of ADD2 is signal p4,p3,p2,p1: std_logic; component AND2 port (I0,I1: in std_logic; O: out std_logic ); end component AND2; component AND3 port (I0,I1,I2: in std_logic; O: out std_logic ); end component AND3; component OR3 port (I0,I1,I2: in std_logic; O: out std_logic ); end component OR3; component XOR2 port (I0,I1: in std_logic; O: out std_logic ); end component XOR2; component XOR3 port (I0,I1,I2: in std_logic; O: out std_logic ); end component XOR3; AND_I1: AND2 port map (B(1),A(1),p1); AND_I2: AND3 port map (B(0),A(1),A(0),p2); AND_I3: AND3 port map (B(1),B(0),A(0),p3); AND_I4: AND2 port map (B(0),A(0),p4); OR_I1: OR3 port map (p1,p2,p3,y(2)); XOR_I1: XOR3 port map (p4,b(1),a(1),y(1)); XOR_I2: XOR2 port map (I0=>B(0),I1=>A(0),O=>Y(0)); end ADD2_A4; Die Instanzen können in beliebiger Reihenfolge angegeben werden. In der Instanz für das XOR2-Element werden die Parameter mit der named notation übergeben. Bei dieser Form ist auch die Reihenfolge der Parameter beliebig.

8 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 Aufgabe 2.4 Die eleganteste Lösung der Aufgabenstellung nach Aufgabe 2.1 ergibt sich bei Verwendung der VHDL-Bibliothek der Dualarithmetik. Dabei gehen wir von der funktionalen Beschreibung der Aufgabe aus und überlassen dem VHDL-System die Schaltungssynthese. Funktionssymbol der Addiererschaltung Lösung 2.4 Für diese Lösung muss die Bibliothek IEEE.STD_LOGIC_UNSIGNED angegeben werden. Die folgenden Bibliotheken werden bereits automatisch im VHDL- Gerüst ergänzt: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; architecture ADD2_A5 of ADD2 is Y<= ('0' & B) + ('0' & A); end ADD2_A5; Die Operanden werden durch Anfügen einer zusätzlichen Bitstelle an das dreistellige Ergebnisformat angepasst. Für alle Lösungen der Addierschaltung ergeben sich die gleichen Simulationsergebnisse.

9 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen Aufgabe 2.5 Mit einer kombinatorischen Schaltung sollen vier logische Funktionen von zwei Eingangssignalen a und b gebildet werden. Mit den Selectsignalen s[1:0] werden die folgenden Funktionen eingestellt: a) Geben Sie die Wahrheitstabelle an und bestimmen Sie die verkürzte Gleichung über das KV-Diagramm. b) Geben Sie eine nebenläufige VHDL-Beschreibung für die Schaltung unter Benutzung dieser Gleichungen an. c) Geben Sie eine VHDL-Synthesebeschreibung für eine funktionale Vorgabe an. d) Simulieren Sie die VHDL-Lösungen Lösung 2.5 a) Die Wahrheitstabelle ergibt sich aus den Funktionsbeziehungen der vorgegebenen logischen Funktionen: Wahrheitstabelle und KV-Diagramm für Logikschaltung

10 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 Zusammenfassungen sind durch die Nutzung von vier Zweierblöcken möglich: y = s0 ab s1 s0 b s1 s0 a s1 s1 s0 a b s1 s0 a b s1s0 a b a b b) Diese Gleichung wird in die VHDL-Form übernommen: entity LOGIC is port ( b,a : in std_logic; s : in std_logic_vector(1 downto 0); y : out std_logic ); end LOGIC; architecture LOGIC_A1 of LOGIC is y <= ( s(0) and a and b ) or ( not s(1) and s(0) and b ) or ( not s(1) and s(0) and a ) or ( not s(1) and a and b ) or ( s(1) and not s(0) and a and not b ) or ( s(1) and not s(0) and not a and b ) or ( s(1) and s(0) and not a and not b ); end LOGIC_A2; c) Die einfachste Lösung ergibt sich aus der folgenden VHDL- Synthesebeschreibung: architecture LOGIC_A2 of LOGIC2 is with s select y <= a and b when "00", a or b when "01", a xor b when "10", a xnor b when others; end LOGIC_A2;

11 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen c) Für beide Lösungen erhalten wir das folgende Simulationsergebnis. Die Eingangssignale werden in einer WAVEFORM TESTBENCH angegeben. Simulationsergebnis für Logikschaltung Die dem Signalvektor s[1:0] zugeordneten Bereiche zeigen die AND-, OR-, XOR- und XNOR-Verknüpfung der Eingangssignale a und b. Aufgabe 2.6 Mit einer kombinatorischen Schaltung soll eine 2-stellige Dezimalzahl im BCD- Code (XZ[3:0],XE[3:0]) in die 7-Bit-Dualdarstellung Y[6:0] gewandelt werden. Einer- und Zehnerstelle besitzen je den Wertebetreich [9...0]. Das Ergebnis liegt im Bereich der Dezimalwerte [ ]. Benutzen Sie die folgenden Portdefinitionen: entity BCD2DUAL is port ( XZ,XE: in std_logic_vector(3 downto 0); Y : out std_logic_vector(6 downto 0)); end BCD2DUAL; Lösung 2.6 Eine kombinatorische Lösung erfordert die Zusammenfassung der mit 10 multiplizierten Zehnerstelle mit der Einerstelle. Die Multiplikation mit 10 kann durch Addition der mit 2 und mit 8 multiplizierten Anteile ausgeführt werden. Die Multiplikationen mit 2 und 8 ergeben sich aus Linksverschiebungen um eine oder um drei Stellen. Dabei werden von rechts 0 -Stellen eingeschoben.

12 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 Das Lösungsprinzip wird zunächst in einem Schaltbild dargestellt: Kombinatorische Funktionsschaltung fürdie BCD-Dual-Konvertierung In der VHDL-Beschreibung werden die Operanden auf das 7-stellige Ergebnisformat erweitert und addiert. architecture BCD2DUAL_A of BCD2DUAL is Y <= ("000" & XE) -- Einer + ("00" & XZ & '0') -- Zehner * 2 + ( XZ & "000"); -- Zehner * 8 end BCD2DUAL_A; Die Multiplikationen ergeben sich durch einen Versatz bei der Signalzuführung an die Addierschaltungen. Dafür werden keine Logik-Ressourcen benötigt. Die Lösung wird durch das Simulationsergebnis bestätigt: Ausschnitt aus der Simulation der BCD-Dual-Wandlung Die dezimalen Eingangssignale werden in die Dualdarstellung gewandelt. Diese wird in hexadezimaler Darstellung angezeigt.

13 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen Aufgabe 2.7 Mit einer kombinatorischen Schaltung soll eine 4-stellige Dualzahl X[3:0] um eine Stelle nach links oder rechts verschoben werden. In Abhängigkeit von dem Steuereingang S[1:0] soll gelten: S = 01 S = 10 Linkserschieben Rechtsverschieben S = 00 und S = 11 keine Verschiebung a) Geben Sie eine VHDL-Beschreibung mit der nebenläufigen when else- Anweisung an. b) Geben Sie eine gleichwertige Lösung mit der sequenziellen if then else- Anweisung an. Beachten Sie die unterschiedlichen Formen bedingter Anweisungen in der nebenläufigen und in der sequenziellen VHDL-Verhaltensbeschreibung! Beide Lösungen sind durch Simulation zu überprüfen. Lösung 2.7 a) Für eine kombinatorische Schaltung bevorzugen wir nebenläufige Verhaltensbeschreibungen. entity SHFT4 is port ( X : in std_logic_vector(3 downto 0); S : in std_logic_vector(1 downto 0); Y : out std_logic_vector(3 downto 0) ); end SHFT4; architecture SHFT4_A1 of SHFT4 is Y <= X(2 downto 0) & '0' when S="01" else '0' & X(3 downto 1) when S="10" else X; end SHFT4_A1;

14 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen zu Kap.2 b) Bei Verwendung der process-anweisung erscheinen die Eingangssignale in der sensitiviy list. architecture SHFT4_A2 of SHFT4 is process (S,X) if S="01" then Y <= X(2 downto 0) & '0'; elsif S="10" then Y <= '0' & X(3 downto 1); else Y<= X; end if; end process; end SHFT4_A1; Beide Lösungen ergeben in der Simulation das gleiche Ergebnis: Simulationsergebnis für kombinatorische Schiebeschaltung Das Simulationsergebnis zeigt für drei Beispielwerte die Links- und Rechts- Verschiebung und die Übernahme des Eingangswertes. Aufgabe 2.8 Für die Aufgabe 2.7 sind weitere gleichwertige Lösungen anzugeben. a) Geben Sie eine VHDL-Beschreibung mit der nebenläufigen with select when-anweisung an. b) Geben Sie eine gleichwertige Lösung mit der sequenziellen case- Anweisung an. Beide Lösungen sind durch Simulation zu überprüfen.

15 Jorke: Rechnergestützter Entwurf digitaler Schaltungen, Aufgaben und Lösungen Lösung 2.8 a) Mit der with select when-anweisung ergibt sich die folgende Lösung: entity SHFT4 is port ( X : in std_logic_vector(3 downto 0); S : in std_logic_vector(1 downto 0); Y : out std_logic_vector(3 downto 0) ); end SHFT4; architecture SHFT4_A3 of SHFT4 is with S select Y <= X(2 downto 0) & '0' when "01", '0' & X(3 downto 1) when "10", X when others; end SHFT4_A3; b) Die entsprechende sequenzielle Verhaltensbeschreibung ergibt sich mit der case-anweisung: architecture SHFT4_A4 of SHFT4 is process (S,X) case S is when "01" => Y <= X(2 downto 0) & '0'; when "10" => Y <= '0' & X(3 downto 1); when others => Y<= X; end case; end process; end SHFT4_A4; In der Simulation ergeben sich die gleichen Ergebnisse wie für die Lösungen in Aufgabe 2.7.

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

14 Addierer und Subtrahierer

14 Addierer und Subtrahierer 14 Addierer und Subtrahierer 14.1 Darstellung positiver und negativer Zahlen Die Anzahl der Bitstellen muss festgelegt sein, um positive und negative Zahlen unterscheiden zu Binär m -1 = 3 Positiv Dezimal

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Lösungsvorschlag

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli)

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli) Digital imulator Kapitelverzeichnis (uch Künzli) Für die Übungs Projekte nächstes bitte das nächste Mal den LapTop mitbringen und vorher den Digital imulator vom Netz laden: http://www.digital-simulator.de/.

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Synchrone Zähler. Synchroner Dualzähler

Synchrone Zähler. Synchroner Dualzähler Synchrone Zähler alle Zählstufen haben bezüglich des Zähltaktes etwa die gleiche Verzögerungszeit. Dadurch Vorteile gegenüber asynchronen Zählern. Entwurf von Synchronzählern aufwendiger. auf den Eingang

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Paritäts-Bit- Generator

Paritäts-Bit- Generator Projektseminar: Hardware-Beschreibung mit VHDL Seite: 4 2 Aufgaben 2.1 Aufgabe Parity-Generator 2.1.1 Aufgabenstellung Beschreiben sie eine Hardware, welche ein Paritäts-Bit an eine 31-stellige Bitfolge

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 33 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 33 Problem

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Letzte Woche: Rückgekoppelte Schaltnetze!

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Digitaltechnik Grundlagen 5. Elementare Schaltnetze 5. Elementare Schaltnetze Version 1.0 von 02/2018 Elementare Schaltnetze Dieses Kapitel beinhaltet verschiedene Schaltnetze mit speziellen Funktionen. Sie dienen als Anwendungsbeispiele und wichtige Grundlagen

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 17.12.2015 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Humboldt-Universität zu Berlin, Dr. Winkler Digitale Systeme - Grundlagen

Humboldt-Universität zu Berlin, Dr. Winkler Digitale Systeme - Grundlagen 4 kombinatorische Schaltungen Kombinatorik: Synthese: die Ausgangsvariable ist nur eine Funktion der Eingangsvariablen: y = f (,,... x n ) - realisierbar durch Verknüpfung mit Grundgattern - keine Rückführung

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

19 Kombinatorische Standardschaltungen

19 Kombinatorische Standardschaltungen ochschule für Angewandte Wissenschaften amburg FACBEREIC EEKTROTECNIK 9 Kombinatorische Standardschaltungen Was sind kombinatorische Standardschaltungen? Größere und komplexere ogikschaltungen verwenden

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Digitaltechnik Grundlagen 4. Schaltalgebra

Digitaltechnik Grundlagen 4. Schaltalgebra 4. Schaltalgebra Prof. Dr.-Ing. Thorsten Uelzen Prof. Dr.-Ing. Thorsten Uelzen Version 1.0 von 02/2018 Gesetze und Rechenregeln - Die Schaltalgebra ist die Anwendung der allgemeineren Booleschen Algebra

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr