FAKULTÄT FÜR INFORMATIK

Größe: px
Ab Seite anzeigen:

Download "FAKULTÄT FÜR INFORMATIK"

Transkript

1 FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag zur Zentralübung Antworten auf die Fragen im Text (Flipflops und Schaltwerke) Wandeln Sie diese Schaltung durch Einfügen von Invertern in ein rein NOR-basiertes RS-Flipflop um. S R Durch Einfügen von zwei Invertern (am Ausgang des OR-Flipflops und am nachfolgenden AND-Eingang) wird die Funktion des Flipflops nicht beeinflusst, lediglich das Ausgangsignal invertiert. Ein weiterer Inverter am R-Eingang macht diesen genauso High-aktiv wie den S-Eingang. Das daraus folgende AND-Gatter mit zwei invertierten Eingängen kann nach der De Morganschen Regel in ein NOR umgewandelt werden. (Die übliche Über-Kreuz-Zeichnung der Verbindungen kann leicht durch Umdrehen des unteren Gatters verwirklicht werden.) Wie könnte ein Flipflop aussehen, das nur dann seinen Wert ändern kann, wenn ein zusätzlicher Takteingang aktiv (log. 1) ist? (Sogenanntes taktgesteuertes Flipflop.) Ein NOR-basiertes RS-Flipflop hat seinen (inaktiven) Speicherzustand, wenn an den Eingängen (R,S) = (0,0) anliegt. Es liegt daher nahe, die Signale R und S über Datenwegsschalter mit dem Taktsignal zu verknüpfen: 1

2 S R Durch geschicktes Einfügen von Invertern kann man ein solches getaktetes Flipflop auch ausschließlich aus NAND-Gattern aufbauen. Bitte selbst probieren! Tip: Zunächst die Datenwegsschalter umwandeln, danach ergeben sich die Konsequenzen für das Flipflop von selbst. Da die Schaltung symmetrisch bezüglich der Wahl der Ein- und Ausgänge ist, wird sich am Ende eine umgekehrte Bezeichnung der Ausgänge ergeben. Wie müsste man das Flipflop zusätzlich beschalten, wenn nur ein Eingang (genannt D) zum Datenspeichern neben dem Takteingang vorhanden sein soll? (Sogenanntes D-Flipflop.) Jedes Flipflop mit einem Setz- und einem Rücksetzeingang hat mindestens die beiden gültigen Eingangswerte (0, 1) und (1, 0), die den Vorgang des Setzens oder Rücksetzens auslösen. Eine Umwandlung in ein ausschliesslich speicherndes Flipflop kann somit durch Einfügen eines Inverters geschehen, im Beispiel bei einem NAND-basierten getakteten RS-Fliplflop, welches dadurch zu einem getakteten D-Flipflop wird: S Aufgabe ) Wie lässt sich ein RS-Flipflop (z.b. die NAND-Variante) in VHDL vom Verhalten her beschreiben? Wir gehen zunächst nur vom -Ausgang aus. Dieser behält seinen alten Wert, wenn R und S 1 sind, wird mit S=0 auf 1 und mit R=0 auf 0 gezwungen. q <= 1 when s= 0 else 0 when r= 0 else q; Interessanterweise benötigen wir hier den invertierten -Ausgang nicht, um die Speicherfunktion zu erhalten. Dies geschieht allein schon über die Rückkopplung (d. h. q taucht auf der 2

3 rechten Seite auf). 2) Wie lässt sich ein NOR-RS-Flipflop in VHDL strukturell (d. h. als Netzliste mit Gatterkomponenten und Verdrahtung) beschreiben? Zunächst die Interfacebeschreibung der Entity: entity nor_rs is port (r,s : in std_logic; q,qn : out std_logic ) end entity; Für die Architecture ist zu beachten, dass q bzw. qn mit dem Modus OUT nicht intern wieder gelesen werden können. Daher müssen wir interne Signale iq und iqn einführen. architecture structural of nor_rs is signal iq,iqn: std_logic; -- interne Signale component nor2 -- Def. des NORs mit zwei Eingängen port (a,b : in std_logic; x : out std_logic ); end component; q<=iq; qn<=iqn; -- Ausgabe der internen Signale NOR_1: nor2 port map (s, iq, iqn); -- SET ist am NOR mit /! NOR_2: nor2 port map (r, iqn, iq); end structural; Aufgabe ) Machen Sie sich anhand des Impulsverlaufes des Taktsignales klar, wann jeweils die einzelnen Teilflipflops schalten. (2) (3) (1) (4) 1. Das Signal ist gerade nicht mehr Low, d.h. für den Slave gerade nicht mehr aktiv. Folge ist, dass das Slave-Flipflop sperrt und somit keine Zustandswechsel an seinem Ausgang vorkommen können. 3

4 2. ist jetzt vollständig aktiv, d. h. das Master-Flipflop öffnet und kann somit gesetzt werden. 3. Nun ist nicht mehr aktiv, so dass das Master-Flipflop wieder sperrt und seinen letzten gesetzten Wert behält. 4. Schließlich wird für das Slave-Flipflop wieder aktiv und kann somit den Wert des Master-Flipflops übernehmen. In der letzten Phase findet der eigentliche, nach außen sichtbare Wechsel des Zustandes eines Master-Slave-Flipflops statt. Ein MS-Flipflop mit einem solchen Taktverlauf nennt man daher negativ flankengesteuert, da die negative (d. h. die abfallende) Flanke für den eigentlichen Zustandswechsel sorgt. Mit einem Inverter für kann auf die steigende Flanke reagiert werden. Kann es bei schnellen Signalwechseln Probleme geben? Ist das Eingangssignal in der Umgebung der übernehmenden Taktflanke instabil, kann zunächst ein falscher Zustand abgespeichert werden. In einem noch engeren Fenster um diese Taktflanke herum ist es aber auch möglich, dass das Flipflop zwar einen Wert annimmt, nach einiger Zeit aber spontan zurückfällt, zwischen 0 und 1 oszilliert oder sogar konstante Spannungspegel ausgibt, die weder 1 noch 0 zuzuordnen sind. Dieser Zustand kann relativ lange anhalten und wird Metastabilität genannt. Ein Auftreten kann schwerste Probleme nach sich ziehen und potentiell durch Folgefehler sogar dauerhafte Hardwareschäden verursachen. Besonders gravierend kann das bei der Zustandspeicherung von Automaten sein, wo z.b. ungültige Zustände entstehen, die durch keinen in dem Kontext möglichen Übergang verlassen werden können. Die Wahrscheinlichkeit für ein metastabiles Ereignis steigt rapide an, wenn die sogenannte Setup- und Holdzeiten um die Taktflanke verletzt werden. Man kann durch verschiedene technische Tricks dieses Zeitfenster verkleinern, aber nicht völlig eliminieren. Es gibt kein Flipflop, das keine Metastabilität besitzt! Die einzige Möglichkeit, den Effekt extrem unwahrscheinlich (< ) auftreten zu lassen, ist die strikte Einhaltung der Setup/Hold-Zeiten. Anwendung: JK-Flipflop Wenn man sich die Funktionstabelle eines RS-Flipflops genau anschaut, stellt man fest, dass die Eingangkombination (R,S) = (1,1) (bzw. (R,S) = (0,0) beim NAND-basierten Flipflop) eine Ausgangskombination von (, ) = (1, 1) erzeugt und somit eigentlich nicht gültig ist, da NOT ist. Um zu verhindern, dass die besagte Eingangskombination versehentlich genutzt wird, kann man nun dafür sorgen, dass ihr eine neue Funktion zugeordnet wird. Üblicherweise wählt man dafür den Wechsel des aktuellen Zustandes (Toggle, daher auch T-Flipflop). Die neue Funktionstabelle sieht also z.b. folgendermaßen aus, wobei nun R und S als J und K (Jump and Kill) bezeichnet werden: 4

5 J K 0 x x alt alt alt alt alt alt Diese Funktionalität wird üblicherweise dadurch implementiert, dass im Fall (J, K) = (1, 1) die Ausgänge kreuzweise über Datenwegsschalter an die Eingänge eines herkömmlichen RS-Flipflips zurückgeführt werden: J K Voraussetzung für diese Form der Rückkopplung ist allerdings die Verwendung eines nichttransparenten Systemflipflops, hier angedeutet durch das Dreieck am Takteingang (= Flankensteuerung) sowie den senkrechten uerstrich im Flipflopsymbol (= Master-Slave-Technik). Auch das JK-Flipflop kann natürlich Metastabilität aufweisen! 2) Entwerfen Sie ein sogenanntes D-Master-Slave-Flipflop durch (graphische) Verschaltung von Gattersymbolen. D Master-Latch Slave-Latch 5

6 3) Schaltbild (vereinfachte Darstellung der D-FFs): D1 FF1 FF2 FF3 FF4 D D D D Ausgangswerte: D undefinierter Wert Obige Anordnung ist ein Schieberegister. Dies kann z. B. benutzt werden, um serielle Daten zu parallelisieren. Wenn die Flipflopeingänge auch über einen Umschalter mit einem bestimmten Wert geladen werden können, ist es zusätzlich möglich, parallele Bitworte durch das Schieben zu serialisieren. Die Anwendungen sind damit z. B. in der gesamten Übertragungstechnik, bei seriellen Schnittstellen wie RS232, USB, Firewire, Serial ATA, Displayansteuerung (TFT) etc. Aufgabe ) Darstellung des Verhaltens eines D-Master-Slave-Flipflops in VHDL: process(clk) -- in architecture eingebunden if rising_edge(clk) then -- steigende Flanke q<=d; end if; end process; 2) Um nicht jedes Bit einzeln beschreiben zu müssen, werden jetzt Vektoren benutzt. Wie bei Aufgabe 9.1 wird ein internes Signal benutzt. entity shift_register is port (d,clk: in std_logic; q : out std_logic_vector(4 downto 1) ); end entity; 6

7 architecture simpel of shift_register is signal iq: std_logic_vector(4 downto 1); q<=iq; process(clk) if rising_edge(clk) then iq(1)<=d; iq(4 downto 2)<=iq(3 downto 1); end if; end process; end simpel; 3) 8Bit-Addierer mit zwei Takten Verzögerung. Eingänge a, b und Ausgang z sind in der Entity als unsigned(7 downto 0) definiert. architecture beispiel of adder is -- Wir brauchen wieder interne Zwischenspeicher für a und b -- Diese Signale sind ausserhalb der Entity NICHT sichtbar! signal a_ff,b_ff: unsigned(7 downto 0); process(clk) if rising_edge(clk) then a_ff <= a; b_ff <= b; z <= a_ff + b_ff; end if; end process; end beispiel; Funktionsweise: a und b werden mit der steigenden Taktflanke in den Entity-internen Signalen a ff und b ff zwischengespeichert. Diese Zwischenspeicher werden auch Register genannt. Das Ergebnis der Addition von a ff und b ff wird in Flipflops gespeichert, deren Ausgang auf das Signal z gegeben wird. Durch die Semantik des Prozesses (Zuweisungen erst am Ende) entsteht damit eine Verzögerung des Ausgangs zu den Eingangswerten um insgesamt zwei Takte. Diese Verzögerungskonstruktion mit zusätzlichen Flipflops ist sinnvoll, um reale Gatterlaufzeiten zu entzerren (Stichwort Pipelining). Beispielsweise können somit Berechnungen, die für einen Takt zu lange dauern, in Zwischenstufen aufgeteilt werden, die durch Flipflops getrennt werden. Dieser Aufbau erlaubt höhere Taktraten auf Kosten der Verzögerung (Latenz). 7

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Digitale Netzwerke... 2

Digitale Netzwerke... 2 4. Digitale Netzwerke... 2 4.1 Allgemeine Einführung... 2 4.2 Kombinatorische Schaltungen... 4 4.2.1 Definition Schaltnetze... 4 4.2.2 Schaltnetze mit nur einem Gattertyp... 6 4.2.3 Realisierung von Schaltnetzen...

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Michael Walz Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorwort 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

DIGITALTECHNIK 10 SCHIEBEREGISTER

DIGITALTECHNIK 10 SCHIEBEREGISTER Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER Inhalt Seite 2 von 11 1 SCHIEBEREGISTER... 3 2 D-FLIPFLOP... 3 2.1 SCHIEBERICHTUNG RECHTS... 3 2.2 SCHIEBERICHTUNG LINKS... 5 3 TIMINGBEDINGUNGEN... 6 4

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik Elektronik/Mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel Flip-Flops im Selbststudium aneignen zu können. Offene Fragen klären

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/28 2012-02-29 Flip-Flops Frage: wie kann man Werte speichern?

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen Praktikum Digitaltechnik SS 2009 Versuch 1 1 Gesamtablauf: Praktikum Digitaltechnik SS 2009 1. Versuch Herkömmlicher Schaltungsaufbau durch Stöpseln 2. Versuch Rechnergestütztes Entwerfen über Schaltplan

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli)

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli) Kapitelverzeichnis (Buch Künzli). Begriffe und efinitionen. Kombinatorische Logik und Schaltalgebra. Speicherbausteine (Flip-Flops). Zähler 5. Register und Schieberegister 6. Automaten. Programmierbare

Mehr

Sequenzielle Schaltwerke

Sequenzielle Schaltwerke Informationstechnisches Gymnasium Leutkirch Sequenzielle Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

V10: Flip-Flops und Zähler

V10: Flip-Flops und Zähler Elektronikpraktikum im WS 2010/11 Universität Stuttgart Protokoll zum Versuch Stephan Ludwig, Nicolai Lang 13. Januar 2011 Zusammenfassung Die folgenden Versuche befassen sich mit der Funktion unterschiedlicher

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Vorbereitung: Schaltlogik

Vorbereitung: Schaltlogik Vorbereitung: Schaltlogik Marcel Köpke (1588978) Gruppe 7 06.01.2012 Inhaltsverzeichnis 1 Bauelemente 5 1.1 AND-Gatter................................... 5 1.2 NOT-Gatter...................................

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk

Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk Versuch P1-63, 64, 65 Schaltlogik VORBEREITUNG Stefanie Falk 25.11.2006 - 1 - SCHALTLOGIK Die Schaltlogik, die Grundlage der modernen Datenverarbeitung ist, soll an Hand dieses Versuchs kennen gelernt

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Statische Timing-Analyse

Statische Timing-Analyse Navigation Statische Timing-Analyse Delay Elmore-Delay Wire-Load-Modell Pfad-Problem Pfade/Cones Kritischer Pfad... Breitensuche Setup- und Hold-Zeit Ein- und Ausgänge Sensibilisierbarkeit Slack-Histogramm

Mehr

Ideale Impulsglieder ohne Einsatz von RC-Gliedern und nicht getaktete (asynchrone) JK-Flipflops

Ideale Impulsglieder ohne Einsatz von RC-Gliedern und nicht getaktete (asynchrone) JK-Flipflops Ideale Impulsglieder ohne Einsatz von RC-Gliedern und nicht getaktete (asynchrone) JK-Flipflops 0. Einleitung Ein Versuch, die Darstellungen des RS-Flipflops in der Literatur und im Internet zu erklären

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN Grundlagenlabor Digitaltechnik Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen ITEM GUNDLAGENLABO DIGITALTEHNI VEUH 4 VEUHTHEMA FLIP-FLOP AL

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen Digitaltechnik Laborversuch 1 FlipFlops Name: vereinbarter Termin 2ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Wirkung a b Q t Q t+1

Wirkung a b Q t Q t+1 Speichern Hans-Georg Beckmann 22 Speichern mit Flip Flops Aus der Elektrotechnik kennt man möglicherweise Schaltungen mit seltsamen Namen wie "Monostabile Kippstufe" oder "Bistabile Kippstufe". Man weiß,

Mehr