Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk

Größe: px
Ab Seite anzeigen:

Download "Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk"

Transkript

1 Versuch P1-63, 64, 65 Schaltlogik VORBEREITUNG Stefanie Falk

2

3 - 1 - SCHALTLOGIK Die Schaltlogik, die Grundlage der modernen Datenverarbeitung ist, soll an Hand dieses Versuchs kennen gelernt werden. Auf der Basis einfacher logischer Verknüpfungen wie UND, ODER und NICHT lassen sich recht komplizierte Problemstellungen lösen. Jedoch soll dieser Versuch keinen vollen Einstieg in die Digitaltechnik darstellen. Dabei ist zu einem vollständigen Verständnis der gestellten Aufgaben ein gutes Basiswissen nötig, ansonsten gestaltet sich die Vorbereitung sehr Zeit intensiv. Aus diesem Grund beschränken sich die meisten Versuche darauf, die benötigten Schaltungen auf einer speziellen Experimentiertafel zu realisieren und deren Funktionalität zu testen. Dieses Testen soll vor allem durch das Erstellen der Wahrheitstabellen während des Versuchs und einem Vergleich dieser mit den erwarteten Wahrheitstabellen geschehen. Ferner ist es hier nicht zwingend notwendig, alle Versuche zu bearbeiten, d.h. etwa 2/3 sollten genügen, wobei die Aufgaben 3.1, 3.2, 4, 5.1 und 6.1 nicht ausgelassen werden sollten. 1. Gatter und diskrete Bauelemente In diesem Versuch werden die einfachsten Grundschaltungen der Schaltlogik vorgestellt. Die Bezeichnung für diese lautet Gatter (von engl. Gate - Tor), da jedes logische Schaltelement unter gewissen Bedingungen eine Torwirkung zeigt. Die Messungen an den Schaltungen werden mit einem Logik-Tester durchgeführt. 1.1 AND-Gatter Das AND- oder auch UND-Gatter stellt die logische Verknüpfung zweier Eingangssignale zu einem Ausgangssignal dar. Beim AND-Gatter ist es, wie die nachfolgende Wahrheitstabelle zeigt, zwingend notwendig, dass beide Eingangssignale, hier mit A und B bezeichnet, logisch 1 sind (wenn wir von positiver Logik sprechen). A B C out Als Funktion schreibt sich dies so: C out = Abb. 1.1 aus Wilhlem Walcher, Praktikum der Physik, 9. Auflage 2006 Punkt C auf die anliegende Spannung von 5V steigt. Dieses Gatter soll nun durch Dioden unter zu Hilfenahme der Kleinen Platine realisiert werden. Eine mögliche Realisierung eines Dioden AND-Gatters zeigt Abbildung 1.1. Solange die Dioden nicht sperren, d.h. Spannung am Widerstand R abfällt, fällt am Punkt C keine Spannung ab. Werden nun beide Dioden auf 1 gelegt, sperren sie und an R fällt keine Spannung mehr ab. Die Folge ist, dass nun die Spannung am

4 NOT- und NAND-Gatter Nun soll, durch in Reiheschalten eines Transistor NOT-Gatters und eines AND-Gatters, ein, so genanntes, NAND-Gatter erzeugt werden. Ein logisches NOT oder NICHT ist ein Inverter, das heißt, dass es das betreffende Signal, ob nun Ausgangs- oder Eingangssignal einer Schaltung, invertiert (negiert); sprich aus 1 wird 0 und aus 0 wird 1. Bei einem NAND (Nicht UND) handelt es sich somit um die Negation des Ausgangs eines AND-Gatters, aus dieser Tatsache ergibt sich folgende Wahrheitstabelle: Die Gleichung für dieses Gatter lautet: C out = A B C out In Abbildung 1.2 ist diese Schaltung unter Verwendung der Dioden und des Transistors aufgezeichnet. Der Transistor sperrt, wenn an seinem Basis-Kollektor Kreis kein Potentialunterschied vorliegt, somit schaltet der Eingang auf 0, wenn eine 1 anliegt und umgekehrt. Abb. 1.2: Quelle siehe Abb OR-Gatter Wie zuvor das AND stellt auch das OR (ODER) eine logische Grundverknüpfung dar. Anders als dieses jedoch, reicht es bei einem OR aus, dass eines der anliegenden Eingangsignale 1 ist, damit am Ausgang eine 1 erscheint. Die Wahrheitstabelle verdeutlicht dies: Abb. 1.3 aus Wilhlem Walcher, Praktikum der Physik, 9. Auflage 2006 A B C out Die Gleichung hierfür lautet: C out = Wie auch schon zuvor wird das OR mittels der kleinen Platine realisiert. Abbildung 1.3 zeigt den möglichen Aufbau. Im Gegensatz zur AND-Dioden-Schaltung wurden hier die Dioden und die Spannungsquelle umgepolt, nun fällt schon die gesamte Spannung am Punkt C ab, wenn nur eine der Dioden auf 1 liegt.

5 Weitere logische Funktionen (Gatter) Im zweiten Teil des Versuchs werden nun weitere logische Verknüpfungen erprobt. Jedoch sollen diese nicht mehr mit Dioden aufgebaut werden, stattdessen findet die Experimentiertafel ihren Einsatz. Die Gatter werden nun mittels der IC s (Integrated Circuits) verwirklicht, welche an die Betriebsspannung von 5V angeschlossen sein sollten, falls ein Eingang frei bliebe, wirkt dies, als wäre er auf 1 gelegt. 2.1 Inverter Wie schon bei 1.2 erwähnt, benötigt man ein NOT, um Signale negieren zu können. Diese Gatter lassen sich ganz einfach aus vorhandenen NAND- bzw. NOR-Gattern (die Negation eines OR) erstellen. Zunächst soll der vorgeschlagene Weg ausprobiert werden. Verbindet man die Eingänge eines NAND oder NOR-Gatters miteinander, so folgt am Ausgang immer das Negierte des Eingangssignals, das Blockschaltbild ist in Abbildung 2.1a gezeigt. Des Weiteren erhält man ein NOT, wenn man bei einem NAND den einen Eingang auf 1 legt (Abb. 2.1b) bzw. bei einem NOR einen Eingang auf 0 (Abb. 2.1c). Abb. 2.1a Abb. 2.1b Abb. 2.1c 2.2 EXOR Antivalenzschaltung Das EXOR (EXclusive OR), oder auch als Antivalenzschaltung bezeichnet (analog dazu gibt es die Äquivalenzschaltung, EXNOR, die jedoch im Praktikum nicht vorkommt), liefert immer dann am Ausgang eine 1, wenn die Eingangssignale verschieden sind, d.h. wenn gilt A B. Die Wahrheitstabelle zeigt diesen Zusammenhang. A B C out Nun ist es Teil dieser Aufgabe die disjunktive Normalform aus der Wahrheitstabelle zu gewinnen. Dies erfolgt, indem man die einzelnen Spalten, in denen der Ausgang 1 ist, mit einem verknüpft und die Zeilen mit, wobei Eingänge, die eine 0 anliegen haben, negiert werden. Daraus ergibt sich folgende Form für das EXOR: C out =

6 - 4 - Dies kann man, wie in Abbildung 2.2 gezeigt, aufbauen und seine Funktionsweise überprüfen. 2.3 EXOR in Full-NAND Technik Abb. 2.2 Sollte in der vorangegangnen Aufgabe noch das Ergebnis der disjunktiven Normalform direkt umgesetzt werden, so wird diese nun zur Verwendung in der Full-NAND Technik umgeformt. Es lassen sich alle logischen Verknüpfungen mittels Bool scher Algebra auf NAND- Gatter zurückführen (oder analog dazu auf NOR), dies verringert den Aufwand an unterschiedlichen Gattern bei komplexeren Schaltungen enorm, jedoch gestaltet sich die Umformung von Hand für diese relativ schwer. An Hand der EXOR-Verknüpfung soll dies jedoch exemplarisch gezeigt werden. Geht man von Z = aus, kann man durch folgende Umformungsschritte zu der, auf dem Aufgabenblatt beschriebenen, Form gelangen. Z = = = = Z = Was im Blockschaltbild folgendermaßen aussieht: Abb. 2.3

7 Addierer Im Folgenden wird das Addieren von Binärzahlen das Thema sein. Da eine Binärziffer einzig die Zustände 1 oder 0 annehmen kann, folgt beispielsweise aus der Addition von 1 (2) und 1 (2) eine 10 (2). 3.1 Halbaddierer Wie oben bereits erwähnt, muss man bei der Addition zweier Dualzahlen in jedem Fall die Möglichkeit des Entstehens eines Übertrags berücksichtigen, denn schon die simple Rechnung 1+1=2 im Dezimalsystem erzeugt einen solchen. Zur Realisierung eines Halbaddierers, der seinen Namen daher bekommen hat, weil man mit ihm einzig zwei einstellige Binärzahlen addieren kann (der Volladdierer folgt im unter 3.1), benötigt man zunächst die Wahrheitstabelle des selbigen: A B S Ü Aus dieser lässt sich nun auch direkt herauslesen, welche logischen Gatter man benötigt, um einen Halbaddierer aufzubauen. Der mit Summe S gekennzeichnete Ausgang ist offensichtlich mit dem Ausgang eines EXOR-Gatters identisch. Der jenige des Übertrags mit einem AND, somit ergibt sich folgendes Blockschaltbild: Abb. 3.1: Halbaddierer Nun ist es die Aufgabe diese Schaltung aufzubauen und zu testen, das heißt durch Variation der Einganssignale experimentell die Wahrheitstabelle zu bestätigen. 3.2 Volladdierer Da jedoch ein HA einzig einstellige Binärzahlen addieren kann, ist eine Erweiterung zu einem, so genannten, Volladdierer nötig. Um auch mehrstellige Dualzahlen addieren zu können, muss die Schaltung des Volladdierers den Übertrag der Addition einer vorangegangenen Stelle berücksichtigen können. Man kann sich dies durch schriftliche Addition veranschaulichen, denn nicht anderes als diese, läuft die Addition mittels eines Volladdierers ab. Die be-

8 - 6 - Abb. 3.2: Volladdierer Übertrag Ü zusammenfassen. nötigte Erweiterung erfolgt, indem man zwei Halbaddierer hintereinander schaltet und damit das Addieren dreier einstelliger Dualzahlen ermöglicht. Man erhält vom ersten HA eine Zwischensumme S z und einen Zwischenübertrag Ü Z1. Addiert man nun S z und den Übertrag Ü E der am Eingang des VA anliegen soll, so erhält man die Summe S und einen weiteren Zwischenübertrag Ü Z2. Diesen muss man nun noch unter zu Hilfenahme eines OR-Gatters zum endgültigen Die zugehörige Wahrheitstabelle sieht so aus: B A Ü E S Ü und soll nun auch experimentell bestätigt werden. 3.3 Subtrahierer Das Schaltbild des aufzubauenden Subtrahierers ist bereits in der Aufgabenstellung enthalten. Das Subtrahieren zweier Binärzahlen kann auf eine Addition zurückgeführt werden und geschieht folgendermaßen: Es sei der Minuend B und der Subtrahend A. Man bildet nun zunächst von A das so genannte 1er- Komplement, was nichts anderes als das normale Komplement der Zahl darstellt. Nun muss man hiervon noch das, so genannte, 2er-Komplement bilden, welches der Addition des 1er-Komplements Abb. 3.3: Subtrahierer mit 1 (10) entspricht. Addiert man nun die beiden Zahlen, so erhält man, den Übertrag außer Acht gelassen, das erwartete Ergebnis. Jedoch funktioniert diese Verfahrensweise nur solange, der Minuend größer als der Subtrahend ist. Wenn dies nicht mehr der Fall ist, muss anders verfahren werden. Wenn es also möglich ist, dass das Ergebnis der Subtraktion negativ ist, dann bildet man die Summe direkt aus der Zahl B und dem 1er-Komplement der Zahl A. Erscheint dabei ein Ü-

9 - 7 - bertrag, dann addiert man zu dem Ergebnis noch eine 1, was vollkommen gleichbedeutend mit dem zuvor beschrieben Vorgehen der Subtraktion durch Bilden des 2er-Komplements ist. Ist der Übertrag Null, so muss das vorliegende Ergebnis invertiert werden und man schreibt ein Minuszeichen davor. In der vorgegebenen Schaltung ist dies bereits realisiert: Die Zahl A wird, wie gefordert, negiert. Die Entscheidung einer Addition mit 1 fällt, indem der Ausgangsübertrag Ü A direkt mit dem Eingangsübertrag Ü E verknüpft wird. Erscheint also nach der Addition ein Übertrag, dann sorgt die an Ü A anliegende 1 dafür, dass durch ihre Verknüpfung mit Ü E, zu dem Ergebnis eine 1 addiert wird. Ist Ü A dagegen 0, so wird die Summe negiert. Dies wird durch die Verknüpfung der Ausgänge D mit EXOR-Gattern erreicht. Wie man sich an Hand der Wahrheitstabelle des EXOR klar machen kann, wirkt dieses als Tor, wenn an einem Eingang 0 anliegt, d.h. der andere Eingang wird unverändert am Ausgang ausgegeben. Liegt jedoch eine 1 an, dies wird jeweils durch die Negation von Ü A erreicht, so invertiert das EXOR das Eingangssignal. Zur Anzeige des Minuszeichens dient eine Leuchtdiode, die in Abbildung 3.3 mit SGN für Signum gekennzeichnet ist. Die Aufgabe hierbei besteht wiederum darin die Erwartungen zu verifizieren. Beispiel: 13 6 = er-Koplement von Addition von 1101 und (unterstrichen der Übertrag) Addieren von = -7 1er-Koplement von Addition von 0110 und Negieren des Ergebnisses (-) Speicherelemente Die bisherigen logischen Bauelemente besaßen keinerlei Speicherfunktion, was bedeutet, dass ein Ausgangssignal nur in dem Moment, in welchem die Eingangssignale anliegen, vorhanden ist, jedoch verschwindet, sobald das Eingangssignal nicht mehr anliegt. Die nachfolgenden Aufgaben befassen sich nun mit Flip-Flops oder auch Kippstufen genannten Logik-Bauteilen. Sie besitzen eine Speicherfunktion, die unterschiedlich realisiert wird, was nachfolgende Unterkapitel zeigen. Im Allgemeinen unterscheidet man zwischen getakteten und ungetakteten FF, wobei es bei der getakteten Variante noch unterschiedliche Möglichkeiten der Umsetzung des Umkippens gibt, d.h. eine Unterscheidung zwischen Taktflanken- (steigend / fallend) oder Taktzustandssteuerung (high / low) findet statt.

10 RS-Flip-Flop Zunächst wird das ungetaktete Reset-Set-Flip-Flop, kurz RS-FF, behandelt. Dieses Flip-Flop besteht aus zwei NAND-Gattern, die rückgekoppelt werden, was in Abbildung 4.1 dargestellt ist. Dabei ist zu beachten, dass das RS-FF ein low-aktives Bauteil ist, d.h. die Schaltung auf eine anliegende 0 reagiert. Nachfolgende Wahrheitstabelle beinhaltet die möglichen Zustände, die das RS-FF annehmen kann: S R Q 1 Q X X Q n!q n Der mit X markierte Zustand ist nicht zulässig bzw. verboten, was sich logisch so erklären lässt, dass es nicht möglich ist gleichzeitig einen Zustand zu setzten, das heißt Q = 1 zu setzen, und ihn zurück zu setzten, das heißt Q = 0 zu setzten oder in anderen Worten: Die drei Bedingungen, Q 1 = 1 und Q 2 = 1 und Q 2 =!Q 1 Abb. 4.1: Quelle siehe Abb. 1.1 sind nicht erfüllbar. Wie zuvor besteht die Aufgabe darin die Funktionstabelle experimentell zu bestätigen 4.2 Getaktetes RS-FF Nach dem ungetakteten RS-FF ist nun die getaktete Version an der Reihe. Das RST-FF ist im Grunde genommen nur ein, um eine Taktabhängigkeit erweitertes, RS-FF, weshalb das Schaltbild 4.2 ähnlich dem des RS-FF ist. Die Taktabhängigkeit wird durch zwei voran geschaltete NAND-Gatter erreicht, wodurch nur bei anliegendem Taktsignal von 1, umgekippt wird. Die Nebenerscheinung, die durch die Taktung hervorgerufen wird, ist, dass das RST-FF high-aktiv ist. Des Weiteren sind Veränderungen an R und S nicht relevant, solange das Taktsignal 0 ist. Abb. 4.2: Quelle siehe Abb. 1.1 Die für das RST-FF geltende Funktionstabelle zeigt eine Abhängigkeit vom Taktsignal, die nachfolgende gilt somit nur für T = 1: R S Q n+1!q n Q n!q n X X

11 - 9 - Auch bei diesem FF-Typ gibt es (noch) einen verbotenen Zustand. Erweitert man ein RST-FF jedoch zu einem D-FF (Data-Flip-Flop), so erzwingt man ein nicht Erscheinen dieses verbotenen Zustands, indem man den, in der Schaltskizze mit A markierten, Punkt mit R verbindet, dadurch liegt am Reset-Eingang des RST-FF s immer!a an. Der Name D-FF ist auf die dadurch entstandene Abhängigkeit von nur einem Dateneingang D zurückzuführen. 4.3 JK-Master-Slave-FF Das JK-MS-FF ist das wohl am variabelsten einzusetzende FF, beispielsweise wird es für Schieberegister (5.1) und Rotationsregister (5.2) benötigt. Das JK-MS-FF besteht prinzipiell aus zwei RST-FF, die hintereinander geschaltet werden. Das erste wird Master und das zweite Slave genannt. Im Prinzip funktioniert es wie ein zweistufiges RST-FF. Liegt am Takt ein Wechsel von 0 zu 1 an, übernimmt der Master das anliegende Signal von J und K, durch die Negation des am Slave anliegenden Taktes, übernimmt dieser erst beim 1 0 Wechsel das bei q und!q erscheinende Signal und gibt es an den Ausgängen Q und!q aus. Zusätzlich zu den bereits erwähnten Eingängen kommen noch der Preset P und Clear C Eingang hinzu. Diese beiden Eingänge sind direkt mit dem RS-FF-Teil des JK-MS-FF verbunden und da her lowaktiv. Sie dienen, wie ihre Namen bereits implizieren, dazu den Speicher direkt zu löschen oder zu setzten. Mittels der vorhandenen Rückkopplungen im JK-MS-FF wird nun auch endlich der, bisher nicht erlaubte, Zustand J=K=1 definiert. Ist das FF in diesen Zustand, Toggle- Mode genannt, geschaltet, dann verhält es sich wie ein Frequenzteiler, das heißt, dass das am Eingang anliegende Taktsignal mit einer, um die Hälfte reduzierten, Frequenz am Ende herauskommt. Die zu erstellende Schaltung ist in Abbildung 4.3 dargestellt. Abb. 4.3: JK-MS-FF Die Aufgabe ist es, sich die Abhängigkeiten der q und Q Ausgänge vom Taktwechsel klar zu machen, sowie die Funktionalität der einzelnen Einstellungen bzw. Eingänge zu testen. Hierfür soll eine Funktionstabelle erstellt werden, die die verschiedenen Eingänge, sowie q und Q beinhaltet und zwischen 1-0 und 0-1 Wechseln unterscheidet. Ein Vorteil des JK-MS-FF ist, dass es die zusätzlichen Eingänge C und P besitzt, die erst viele spätere Anwendungen ermöglichen, ferner wird durch die geschickte Definition des bisher verbotenen Zustands ein Frequenzteiler erzeugt, der wiederum ebenfalls eine große Wichtigkeit besitzt. 5. Schieben, Multiplizieren, Rotieren In diesem Abschnitt werden nun ganz wichtige, allgemeine Anwendungsmöglichkeiten des JK-MS-FF vorgestellt. Hierzu zählt die Umwandlung von seriellen Ausgangssignalen in parallele, wie es beispielsweise am Parallelport eines PC geschieht, d.h. wenn ein Ansteuern der Peripherie (Drucker, Scanner) geschehen soll.

12 Bit Schieberegister (Seriell-Parallel-Wandler) Ein 4-Bit-Schieberegister oder auch Seriell-Parallel-Wandler ist in Abbildung 5.1 gezeigt. Abb. 5.1: Scheiberegister Die Funktionsweise ist relativ simpel und bedient sich der taktweisen Weitergabe der Einganginformation. Mit dem Clear-Befehl werden die Speicherinhalte der JK-MS-FF s zu Beginn des Versuchs gelöscht. Mit Hilfe des Tasters, der an den J und K Eingang des A-ten FF s angebracht ist, kann ein beliebiger Zustand (0 oder 1) in das erste FF geschrieben werden, sobald der Takt auf 1 wechselt. Beim Wechsel von 1 auf 0 liegt dann bei Q A der eben ausgewählte Zustand an, was durch die angeschlossene LED angezeigt wird. Wenn nun der nächste Taktwechsel einsetzt, ist es möglich wiederum eine 1 oder 0 in A zu schreiben, das an Q A vor dem Taktwechsel anliegende Signal dient nun als Eingang für B, welches nun Q An anzeigt, während aus Q An Q An+1 geworden ist. Dies setzt sich nun für C und D fort, so dass nach vier Zyklen die seriell eingelesenen Daten parallel an Q A Q D vorliegen. Praktisch kann man so eine Multiplikation mit 2 durchführen, denn durch Verschieben der A- ten Stelle nach B tut man nichts anderes. Aufgabe ist es das Schieberegister aufzubauen und seine Funktionalität an einer beliebigen binären Ziffernkombination zu testen Bit Rotationsregister (Parallel-Seriell-Wandler) Abb. 5.2: Rotationsregister Ein Rotationsregister ist eigentlich nichts anderes als ein Parallel-Seriell-Wandler, dessen Ausgang des letzten JK-MS-FF s wieder mit dem Eingang des ersten verbunden ist. Ein Parallel-Seriell-Wandler wird direkt über die Preset-Eingänge beschrieben und gibt mit fortlaufendem Takt diese parallel anliegenden Daten an den Ausgang des D-ten FF s aus, wo sie

13 wieder seriell weiter geleitet werden können. Beim Rotationsregister werden die bei Q D ankommenden Daten wieder in das A-te FF geschrieben, was eine Rotation der Daten zu Folge hat. Eine praktische Anwendung dieses Rotationsregisters kann z.b. laufende Reklame sein, wobei sich deren Umsetzung einsgesamt etwas aufwendiger gestaltet. 6. Zähler Das nachfolgende Versuchskapitel beschäftigt sich mit elektronischen Zählern. Zähler sind insofern für die Auswertung mancher anderer Versuche von Bedeutung, da mit ihnen eintretende Ereignisse gemessen werden können. Des Weiteren handelt es sich bei Uhren um nichts anderes als eine Anwendung eines Zählers mit einem Taktimpuls bekannter Frequenz. Ferner können auch durch rückwärtszählen Timer realisiert werden. Allen nachfolgenden Versuchsteilen liegt diese Wahrheitstabelle zu Grunde: Q D Q C Q B Q A Bit Asynchronzähler Zunächst wird die eigentlich einfachste Variante eines Zählers verwendet. Es handelt sich hierbei um einen 4-Bit Asynchronzähler. Dies bedeutet, dass das Zählen direkt durch den, am Eingang des A-ten FF anliegenden, Takt ermöglicht wird. Hierzu werden vier JK-MS-FF hintereinander geschaltet, wie es Abbildung 6.1 zeigt.

14 Die J-K-Eingänge liegen dabei jeweils auf 1, was bedeutet, dass die FF s im Toggle-Mode arbeiten. Diese Betriebsweise eines Zählers geht direkt aus der obigen Wahrheitstabelle hervor, denn sie zeigt, dass das Umkippen des nächsten FF immer mit einer Verzögerung von zwei Takten erfolgen muss, damit binär hoch gezählt werden kann, da somit nie alle FF s gleichzeitig kippen, wird er asynchroner Zähler genannt. Das Takten erfolgt mittels eines Tasters, der jedoch noch durch die, in Abbildung 6 gezeigte Schaltung, entprellt werden muss. Prellen bedeutet, dass bei Betätigung eines Tasters nicht nur ein einmaliges Signal gesendet wird, sondern eine Folge von Wechseln, dies ist bei einem Zähler natürlich zu vermeiden, da ansonsten nicht das erwartete Ergebnis erscheint. Abb.6: Entprellen Abb. 6.1 Da es sich um einen 4-Bit-Zähler handelt, ist die größte darzustellende Zahl 15, danach springt der Zähler wieder auf den Ausgangszustand von 0 und es kann von vorne begonnen werden. Diese Art von Zählern wird auch als Hexadezimalzähler bezeichnet, da mit ihm 16 Zählschritte möglich sind. Ein großer Nachteil an dieser Realisierung eines Zählers ist die Zählgeschwindigkeit, die besonders bei der Erweiterung zu einem Dezimalzähler relativ gering ist und somit keine hohen Zählraten zulässt. Abhilfe schafft der unter 6.3 und 6.4 betrachtete Zählertyp. 6.2 Asynchroner Dezimalzähler Nun folgt die Erweiterung des Hexadezimal- auf einen Dezimalzähler. Hierzu wird lediglich eine NAND-Verknüpfung benötigt. Der so entstehende Zähler sieht wie folgt aus: Abb. 6.2

15 Um aus einem Hexadezimal- einen Dezimalzähler zu machen, darf die Schaltung beim nachfolgenden Takt nicht von 1001 (9) auf 1010 (10) wechseln, sondern soll auf 0 zurückspringen. Dies funktioniert, indem die Ausgänge des B-ten und D-ten FF mit einem NAND verknüpft werden, welches beim gleichzeitigen Anliegen einer 1 an beiden Eingängen eine Null auf die Clear-Leitung schreibt, was wiederum das Zurücksetzten aller FF s zur Folge hat. Aus der Wahrheitstabelle ist entnommen, dass erst bei zehn die Möglichkeit einer 1 bei Q B und Q D besteht. Das Funktionieren der Schaltung soll nun im Versuch erprobt werden. Der Aufbau wird gegebenenfalls bei 6.4 wieder benötigt Bit Synchronzähler Wie bereits erwähnt gibt es eine weitere Realisierungsmöglichkeit für einen Zähler, den so genannten Synchronzähler. Der Unterschied zu dem vorher betrachteten asynchronen Zähler besteht im Wesentlichen darin, dass nun alle FF s über das gleiche Taktsignal gesteuert werden, das heißt, dass alle FF s kippen, bei denen es die Eingänge J und K zulassen. Als Hinweis für die Umsetzung eines solchen synchronen Zählers ist gegeben, dass zwei AND-Gatter zur Realisierung ausreichen. Schaut man sich also die Wahrheitstabelle an, so bemerkt man, dass das B-te FF immer dann umkippt, wenn der Ausgang des A-ten von 1 auf 0 wechselt, d.h. man macht sich auch hier zunächst die Frequenzteilereigenschaft des JK-MS- FF im Toggle-Mode zu Nutze. Wenn man sich nun die Bedingungen ansieht, unter denen C und D kippen, so stellt man fest, dass C kippt, sobald Q A und Q B von 1 auf 0 wechseln, für D gilt analog, dass es kippt, wenn Q A und Q B und Q C von 1 auf 0 wechseln. Diese Schreibweise impliziert auch schon die Realisierung mittels der AND-Verknüpfung besagter Ausgänge. Das Ergebnis des AND-Gatters schaltet man dann gleichzeitig auf J und K des betreffenden nachfolgenden FF s. Die Abbildung soll dies verdeutlichen. Abb. 6.3

16 Synchroner Dezimalzähler Für diese Aufgabe ist der Schaltplan bereits gegeben, es geht ausschließlich um den Aufbau und anschließend das Hintereinanderschalten der beiden Dezimalzähler auf die, im Praktikum vorhandene, 7-Segmentanzeige, die die anliegenden Binärzahlen so codiert, dass sie auf der Anzeige als Dezimalziffern angezeigt werden. Man hat somit einen Zähler, der von 0 bis 99 zählen kann. Abb Digital-Analog Wandler Bei dieser Aufgabe geht es im Wesentlichen darum bei genügend vorhandenem Vorwissen den unter 6. betrachteten Dezimalzähler an ein Widerstandsnetzwerk und schließlich an ein Drehspulmessinstrument anzuschließen, um somit einen Digital-Analog-Wandler zu realisieren. Dies erfolgt über Einstellung der am Drehspulmessinstrument anliegenden Spannung, so dass bei Anzeige einer neun 90% des Vollausschlags erreicht werden. Da die Zeit wahrscheinlich nicht reicht und wir somit nicht dazukommen werden, wird hier auf eine Betrachtung dieser Aufgabe verzichtet.

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Michael Walz Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorwort 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Digitale Elektronik, Schaltlogik

Digitale Elektronik, Schaltlogik Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Jens Küchenmeister (1253810) Versuch: P1-64 Digitale Elektronik, Schaltlogik - Vorbereitung - Die Grundlage unserer modernen Welt

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

P1-63,64,65: Schaltlogik

P1-63,64,65: Schaltlogik Physikalisches Anfängerpraktikum (P1) P1-63,64,65: Schaltlogik Matthias Ernst (Gruppe Mo-24) Karlsruhe, 14.12.2009 Ziel des Versuchs ist ein erster Kontakt mit nichtprogrammierbaren Schaltungen, deren

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Schaltlogik Versuch P1-63,64,65

Schaltlogik Versuch P1-63,64,65 Vorbereitung Schaltlogik Versuch 1-63,64,65 Iris onradi Gruppe Mo-02 23. Oktober 2010 In diesem Versuch sollen die Grundlagen der digitalen Elektronik erarbeitet werden. Das der Schaltlogik zugrunde liegende

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Vorbereitung: Schaltlogik

Vorbereitung: Schaltlogik Vorbereitung: Schaltlogik Marcel Köpke (1588978) Gruppe 7 06.01.2012 Inhaltsverzeichnis 1 Bauelemente 5 1.1 AND-Gatter................................... 5 1.2 NOT-Gatter...................................

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 3 1. Semester ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 3 1. Semester ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN ARBEITSBLATT 3 RECHNEN MIT GANZEN ZAHLEN Wir wollen nun die Rechengesetze der natürlichen Zahlen auf die Zahlenmenge der ganzen Zahlen erweitern und zwar so, dass sie zu keinem Widerspruch mit bisher geltenden

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen.

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen. Deeplink einfügen Zur Angebotserstellung über Ihre Buchungsmaschine können Sie mit Schmetterling Quadra Deeplinks durch einen speziellen Deeplink Generator auf Ihre Homepage einfügen. Abhängig von Ihrer

Mehr

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 von Markus Mack Stand: Samstag, 17. April 2004 Inhaltsverzeichnis 1. Systemvorraussetzungen...3 2. Installation und Start...3 3. Anpassen der Tabelle...3

Mehr

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen

geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Gehen wir einmal davon aus, dass die von uns angenommenen geben. Die Wahrscheinlichkeit von 100% ist hier demnach nur der Vollständigkeit halber aufgeführt. Gehen wir einmal davon aus, dass die von uns angenommenen 70% im Beispiel exakt berechnet sind. Was würde

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu])

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) 3.7 Erstellen einer Collage Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) Dann Größe des Dokuments festlegen beispielsweise A4 (weitere

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Access [basics] Gruppierungen in Abfragen. Beispieldatenbank. Abfragen gruppieren. Artikel pro Kategorie zählen

Access [basics] Gruppierungen in Abfragen. Beispieldatenbank. Abfragen gruppieren. Artikel pro Kategorie zählen Abfragen lassen sich längst nicht nur dazu benutzen, die gewünschten Felder oder Datensätze einer oder mehrerer Tabellen darzustellen. Sie können Daten auch nach bestimmten Kriterien zu Gruppen zusammenfassen

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie Name: Matrikelnummer: Bachelor Biowissenschaften E-Mail: Physikalisches Anfängerpraktikum II Dozenten: Assistenten: Protokoll des Versuches 7: Umwandlung von elektrischer Energie in ärmeenergie Verantwortlicher

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme 1 Zwei Gleichungen mit zwei Unbekannten Es kommt häufig vor, dass man nicht mit einer Variablen alleine auskommt, um ein Problem zu lösen. Das folgende Beispiel soll dies verdeutlichen

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

Lineare Differentialgleichungen erster Ordnung erkennen

Lineare Differentialgleichungen erster Ordnung erkennen Lineare Differentialgleichungen erster Ordnung In diesem Kapitel... Erkennen, wie Differentialgleichungen erster Ordnung aussehen en für Differentialgleichungen erster Ordnung und ohne -Terme finden Die

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten Berichte bieten die gleichen Möglichkeit zur Berechnung von Werten wie Formulare und noch einige mehr. Im Gegensatz zu Formularen bieten Berichte die Möglichkeit, eine laufende Summe zu bilden oder Berechnungen

Mehr

Gleichungen Lösen. Ein graphischer Blick auf Gleichungen

Gleichungen Lösen. Ein graphischer Blick auf Gleichungen Gleichungen Lösen Was bedeutet es, eine Gleichung zu lösen? Was ist überhaupt eine Gleichung? Eine Gleichung ist, grundsätzlich eine Aussage über zwei mathematische Terme, dass sie gleich sind. Ein Term

Mehr

ln halt E in leitu ng

ln halt E in leitu ng ln halt E in leitu ng 1 Kurze Einführung in die Grundlagen der digitalen Elektronik 1.1 Was versteht man unter analog und was unter digital? 7.2 Analoge Systeme 1.3 Digitale Systeme I.4 Binäres System

Mehr

Jede Zahl muss dabei einzeln umgerechnet werden. Beginnen wir also ganz am Anfang mit der Zahl,192.

Jede Zahl muss dabei einzeln umgerechnet werden. Beginnen wir also ganz am Anfang mit der Zahl,192. Binäres und dezimales Zahlensystem Ziel In diesem ersten Schritt geht es darum, die grundlegende Umrechnung aus dem Dezimalsystem in das Binärsystem zu verstehen. Zusätzlich wird auch die andere Richtung,

Mehr

Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner

Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner Weiterbildung und Zusatzausbildung der PHZ Luzern Interessantes und Spannendes aus der Welt der Mathematik September 2006, Dieter Ortner Rechengesetze 1. Rechengesetze für natürliche Zahlen Es geht um

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

Einführung in die Algebra

Einführung in die Algebra Prof. Dr. H. Brenner Osnabrück SS 2009 Einführung in die Algebra Vorlesung 13 Einheiten Definition 13.1. Ein Element u in einem Ring R heißt Einheit, wenn es ein Element v R gibt mit uv = vu = 1. DasElementv

Mehr

Kreatives Gestalten mit Flash 5.0

Kreatives Gestalten mit Flash 5.0 Kreatives Gestalten mit Flash 5.0 Animationen, Effekte und Anwendungen für das WWW Bearbeitet von Isolde Kommer 1. Auflage 2000. Buch. 444 S. Hardcover ISBN 978 3 446 21463 7 Format (B x L): 20,1 x 23,6

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage:

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Zählen und Zahlbereiche Übungsblatt 1 1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Für alle m, n N gilt m + n = n + m. in den Satz umschreiben:

Mehr

Tipp III: Leiten Sie eine immer direkt anwendbare Formel her zur Berechnung der sogenannten "bedingten Wahrscheinlichkeit".

Tipp III: Leiten Sie eine immer direkt anwendbare Formel her zur Berechnung der sogenannten bedingten Wahrscheinlichkeit. Mathematik- Unterrichts- Einheiten- Datei e. V. Klasse 9 12 04/2015 Diabetes-Test Infos: www.mued.de Blutspenden werden auf Diabetes untersucht, das mit 8 % in der Bevölkerung verbreitet ist. Dabei werden

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Wie Sie mit Mastern arbeiten

Wie Sie mit Mastern arbeiten Wie Sie mit Mastern arbeiten Was ist ein Master? Einer der großen Vorteile von EDV besteht darin, dass Ihnen der Rechner Arbeit abnimmt. Diesen Vorteil sollten sie nutzen, wo immer es geht. In PowerPoint

Mehr

Messtechnik-Praktikum. Spektrumanalyse. Silvio Fuchs & Simon Stützer. c) Berechnen Sie mit FFT (z.b. ORIGIN) das entsprechende Frequenzspektrum.

Messtechnik-Praktikum. Spektrumanalyse. Silvio Fuchs & Simon Stützer. c) Berechnen Sie mit FFT (z.b. ORIGIN) das entsprechende Frequenzspektrum. Messtechnik-Praktikum 10.06.08 Spektrumanalyse Silvio Fuchs & Simon Stützer 1 Augabenstellung 1. a) Bauen Sie die Schaltung für eine Einweggleichrichtung entsprechend Abbildung 1 auf. Benutzen Sie dazu

Mehr