Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Größe: px
Ab Seite anzeigen:

Download "Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011"

Transkript

1 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/

2 Flip-Flops Frage: wie kann man Werte speichern? => Flip-Flops (Latches, Register) Automaten 2/

3 Flip-Flops RS-Flip-Flop RS-Flip-Flop: S: Set, R: Reset, Q: Output Automaten 3/

4 Flip-Flops D-Latch D-Latch (Takt-Zustands-gesteuert): D: Data, R: Reset, C: Clock, Q: Output Automaten 4/

5 Flip-Flops Reset Frage Warum verwendet man einen Reset-Eingang mit negativer Logik? Automaten 5/

6 Flip-Flops D-Latch Ersatzschaltbild: = Beachte: ausgefülltes Dreieck am Takt-Eingang! Reset-Eingang i.a. implizit Automaten 6/

7 Flip-Flops 2-Phasen-Takt 2-Phasen-Takt: Automaten 7/

8 Flip-Flops 2-Phasen-Takt 2-Phasen-Takt: Takte auch häufig mit Φ 0 und Φ 1 bezeichnet. aufwändige Takterzeugung Takt-Vervielfachung nicht (einfach) möglich Logik muss nach einem halben Takt Ergebnis berechnet haben oder: Logik muss in zwei Teile aufgespalten werden Automaten 8/

9 Flip-Flops Master-Slave-Flip-Flop Master-Slave-Flip-Flop/D-Flip-Flop (Takt-Flanken-gesteuert): D: Data, C: Clock, Q: Output Automaten 9/

10 Flip-Flops Master-Slave-Flip-Flop Ersatzschaltbild: = Beachte: offenes Dreieck am Takt-Eingang! Reset-Eingang i.a. implizit Automaten 10/

11 Flip-Flops 1-Phasen-Takt 1-Phasen-Takt: Automaten 11/

12 Flip-Flops 1-Phasen-Takt entspricht Mealy-/Moore-/Medwedew-Automaten Logik muss nach einem ganzen Takt Ergebnis berechnet haben derzeitiger Standard beim Chip-Entwurf Beispiel: aktuelle FPGA- und PLD-Bausteine haben diese Struktur Automaten 12/

13 Flip-Flops Automaten Mealy-Automat: Moore-Automat: Medwedew- Automat: Automaten 13/

14 Flip-Flops Automaten Frage Was sind die Vor-/Nachteile der verschiedenen Automaten? Automaten 14/

15 Flip-Flops Setup/Hold-Time Alle Flip-Flops benötigen eine Setup - und Hold -Zeit: Setup-Time: Solange muss am Eingang des Flip-Flops ein sich nicht ändernder Wert anliegen bevor sich der Wert des Takt-Eingangs ändern darf. Hold-Time: Solange darf ein Wert am Eingang des Flip-Flops sich nach der Änderung des Takt-Signals nicht ändern. Automaten 15/

16 Flip-Flops Setup-/Hold-Time Frage Was könnte passieren, wenn das sich D-Signal gerade in dem Moment ändert, in dem das Takt-Signal von 0 nach 1 geht? Wann könnte so etwas vorkommen? Was kann man dagegen tun? Automaten 16/

17 Flip-Flops Schieberegister Frage Wie kann man aus D-Flip-Flops ein sogenanntes Schieberegister bauen? O 0 speichert bei einer positiven Taktflanke den Wert von I. O 1 enthält den Wert von O 0 einen Takt später. O 2 enthält den Wert von O 1 einen Takt später.... Automaten 17/

18 Flip-Flops Schieberegister Frage Jetzt soll ein Parallel-nach-Seriell-Wandler gebaut werden. Ist das Load -Signal 1, soll ein an den Eingängen D 0 bis D 7 anliegende Bit-Folge bei steigender Takt-Flanke in Wandler übernommen werden. Ist das Load -Signal 0, wird der bei der ersten steigenden Takt-Flanke der Wert D 0 über dem Serial-Out -Ausgang ausgegeben. Bei der nächsten steigenden Takt-Flanke D 1, dann D 2 usw. Wie könnte ein Schaltplan für diesen Baustein aussehen? Automaten 18/

19 Flip-Flops Schieberegister Frage Zustandsübergangstabelle: D 0 D 1...D 7 S 0 S 1...S 7 Load S 0 S 1...S 7 O abcdefgh 1 abcdefgh a abcdefgh 0 bcdefgh- b Automaten 19/

20 Flip-Flops Takt-Teiler Automaten 20/

21 Flip-Flops Takt-Teiler Frage Wie sieht vom Takt-Teiler das Signal-Diagramm aus? Wie kann man die Frequenz eines Taktes durch 8 teilen? Automaten 21/

22 Flip-Flops Takt-Vervielfacher Bus einer CPU hat gewöhlicherweise geringere Frequenz als CPU intern. CPU muss mit Bus zusammenarbeiten. Takt in der CPU muss Phasen-synchron zum Bus-Takt sein. Frequenz auf Bus meist fest (Standards). Interne Frequenz der CPU nicht bekannt. => CPU muss sich externen Takt vervielfachen. => Takt-Vervielfacher (Phase-locked Loop; PLL ) Automaten 22/

23 Flip-Flops Takt-Vervielfacher Idee: Kommt 1 von C out früher als von C in, ist Frequenz zu hoch => über Tiefpass kleinere Spannung an VCO (Voltage Controlled Oscilator) geben => VCO produziert Signal mit geringerer Frequenz. Takt-Teiler bestimmt Takt-Vervielfachung Automaten 23/

24 Flip-Flops Zähler Frage Wie kann man aus mehreren D-Flip-Flops einen Zähler (0-15) bauen? Automaten 24/

25 Flip-Flops Zähler Frage Wie kann man einen Zähler (0-9) bauen? Automaten 25/

26 Flip-Flops Load-Eingang Manchmal möchte man bei einem Takt einen Wert nur unter bestimmten Bedingungen speichern. => D-Flip-Flop braucht noch einen Load -Eingang. Automaten 26/

27 Flip-Flops Load-Eingang Automaten 27/

28 Flip-Flops Load-Eingang Frage Warum funktioniert die Variante mit dem AND-Gatter am Takteingang i.a. nicht? Automaten 28/

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock Index: 0. Wiederholung Flip-Flop: 0.1 D-Flip-Flop 0.2 JK-FlipFlop 1. Schieberegister 1.1 einfaches Schieberegister 1.2 Schieberegister mit parallelen Ladeeingängen 2. Zähler 2.1 Asynchroner Dualzähler

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Digitaltechnik Grundlagen 8. Register

Digitaltechnik Grundlagen 8. Register 8. Register Version 1.0 von 02/2018 Register Gliederung: - Grundlagen - Auffangregister - Schieberegister - Einführung - Seriell/Parallel- und Parallel/Seriell-Wandler [Quelle: Fricke, K.: Digitaltechnik,

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen 6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen Ziele dieses Kapitels sind: Lernen wie digitale Speicherelemente aus elementaren Logikgattern aufgebaut werden Unterschiede zwischen asynchronen

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

DIGITALTECHNIK 10 SCHIEBEREGISTER

DIGITALTECHNIK 10 SCHIEBEREGISTER Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER Inhalt Seite 2 von 11 1 SCHIEBEREGISTER... 3 2 D-FLIPFLOP... 3 2.1 SCHIEBERICHTUNG RECHTS... 3 2.2 SCHIEBERICHTUNG LINKS... 5 3 TIMINGBEDINGUNGEN... 6 4

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 3. Vorlesung Klaus Kasper Inhalt MasterSlave FlipFlop Zustandsdiagram FlipFlop Zoo Flankensteuerung JKFlipFlop Zyklische Folgeschaltung Digitaltechnik 2 2 MasterSlave FlipFlop Diskutieren

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Informatik I Modul 4: Schaltwerke

Informatik I Modul 4: Schaltwerke Herbstsemester 2, Institut für Informatik IFI, UZH, Schweiz Informatik I Modul 4: Schaltwerke 2 Burkhard Stiller M4 Modul 4: Schaltwerke Formale Grundlagen Endliche Automaten Asynchrone Schaltwerke, FlipFlops

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Informatik I Modul 4: Schaltwerke

Informatik I Modul 4: Schaltwerke Herbstsemester 2, Institut für Informatik IFI, UZH, Schweiz Informatik I Modul 4: Schaltwerke 2 Burkhard Stiller M4 Modul 4: Schaltwerke Formale Grundlagen Endliche Automaten Asynchrone Schaltwerke, FlipFlops

Mehr

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen Digitaltechnik Laborversuch 1 FlipFlops Name: vereinbarter Termin 2ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Entwurf asynchroner Automaten

Entwurf asynchroner Automaten 5.2.4. Entwurf asynchroner Automaten Die kombinatorische Logik, die in einem synchronen Automaten die Belegungen der Dateneingänge der Flipflops bereitstellt, muß für jedes Flipflop bei jedem Triggerereignis

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik Elektronik/Mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel Flip-Flops im Selbststudium aneignen zu können. Offene Fragen klären

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen Rechnerstrukturen 3b. Endliche Automaten Ziele Modellierung und Realisierung von Steuerungen Beispiele Autoelektronik: ABS-System Consumer: Kamera, Waschmaschine, CD-Player, Steuerung technischer Anlagen

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Logische Bausteine. Addierwerke. Grundlagen der Rechnerarchitektur Logik und Arithmetik 48

Logische Bausteine. Addierwerke. Grundlagen der Rechnerarchitektur Logik und Arithmetik 48 Logische Bausteine Addierwerke Grundlagen der Rechnerarchitektur Logik und Arithmetik 48 Addition eines einzigen Bits Eingang Ausgang a b CarryIn CarryOut Sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Sequentielle Schaltungen lassen sich generell partitionieren in kombinatorische Schaltungsteile, speichernde Elemente und Rückkopplungen.

Sequentielle Schaltungen lassen sich generell partitionieren in kombinatorische Schaltungsteile, speichernde Elemente und Rückkopplungen. 4. Sequentielle Logik 4.1 Einführung In Rechnern und Rechnerstrukturen verwendete Schaltungen lassen sich grob in kombinatorische und sequentielle Schaltungen unterscheiden. Sequentielle Schaltungen enthalten

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

Cache. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Cache. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Cache Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Cache 1/53 2012-02-29 Einleitung Hauptspeicherzugriffe sind langsam die

Mehr

4. Digitale Netzwerke

4. Digitale Netzwerke 4 Digitale Netzwerke Folie 1 4. Digitale Netzwerke 4.1 Allgemeine Einführung Es werden zwei Arten logischer Schaltungen (digitaler Netzwerke) unterschieden (vgl. Abbildung 4.1): kombinatorische Schaltungen

Mehr