7.0 Endliche Zustandsautomaten und Steuerwerke

Größe: px
Ab Seite anzeigen:

Download "7.0 Endliche Zustandsautomaten und Steuerwerke"

Transkript

1 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten kennen zu lernen - Mealy- Automat - Moore-Automat - Medwedjew-Automat Beschreibungen von Zustandsautomaten kennen zu lernen - Graphische Methoden - Tabellendarstellung ( Automatentabelle ) - Darstellung mittels Schaltfunktionen Synthese von Zustandsautomaten Analyse von Zustandsautomaten Untersuchung des Zeitverhaltens von synchronen Zustandsautomaten Lernen Zustandsautomaten als Steuerwerk ein zu setzen Seite 7-0

2 7.1 Schaltwerke Im Gegensatz zu Schaltnetzen, hängen die Ausgänge von Schaltwerken nicht nur von den Eingangswerten ab, sondern auch noch von dem jeweiligen, aktuellen, inneren Zustand. Daher bestehen Schaltwerke aus Schaltnetzen, um den Folgezustand und die Ausgangswerte zu berechnen, und aus Schaltgliedern, um den inneren Zustand speichern zu können. Auch unterscheidet man noch zwischen synchronen und asynchronen Schaltwerken. Bei synchronen Schaltwerken wird, im Gegensatz zu asynchronen Schaltwerken, die Weiterschaltung des inneren Zustandes von einem äußeren Takt vorgegeben. Der zeitliche Ablauf in synchronen Schaltwerken ist daher leicht nachvollziehbar. Die folgende Abbildung zeigt den prinzipiellen Aufbau eines Schaltwerkes in der Huffmann- Normalform. Ein Schaltwerk besteht also aus Speichergliedern um den aktuellen Zustand zu speichern, und aus einem Schaltnetz, das abhängig vom aktuellen Zustand, und den Eingangswerten, die Ausgangswerte und den Folgezustand berechnet. Das Schaltnetz kann in zwei Schaltnetze aufgeteilt werden. Ein Schaltnetz berechnet die Ausgangswerte und das andere Schaltnetz berechnet den Folgezustand. Dies ist in nachfolgender Abbildung als Blockschaltbild dargestellt. Seite 7-1

3 Die unterschiedlich auftretenden Schaltwerkstypen unterscheiden sich in ihrer Ausgangsfunktion, also der Art und Weise wie die Ausgänge berechnet werden. Schaltwerke werden mit sogenannten Zustandsautomaten realisiert, auf die im folgenden näher eingegangen wird. 7.2 Zustandsautomaten Unter einem Automaten versteht man im Allgemeinen eine Modellmaschine, die ein System beschreibt. Ein Automat reagiert auf eine Eingabe und produziert eine Ausgabe, die von der Eingabe und vom momentanen Zustand des Systems abhängt. Ein Automat wird endlicher Automat genannt, wenn die Menge der möglichen Eingabezeichen ( das Eingabealphabet ), die Menge der möglichen Ausgabezeichen ( das Ausgabealphabet) und die Zustandsmenge endlich ist. Formal kann ein endlicher Automat M beschrieben werden durch: Seite 7-2

4 Allen synchronen Zustandsautomaten ist gemeinsam, dass die Zustandsweiterschaltung durch die ansteigende oder abfallende Flanke eines äußeren Taktsignals erfolgt. Die unterschiedlichen Automatentypen unterscheiden sich durch die unterschiedlichen Ausführungen der Ausgangsfunktion. Diese werden im nachfolgenden näher vorgestellt. Mealy-Automat Der Mealy-Automat stellt den universellsten Automatentyp dar. Er wird durch folgende Schaltfunktionen bestimmt: Damit ergibt sich für das Blockschaltbild des Mealy-Automaten: Die Ausgangsfunktion hängt beim Mealy-Automaten nicht nur vom aktuellen Zustand, sondern auch von den jeweiligen Eingangswerten ab. Die Ausgänge des Mealy-Automaten reagieren also, sofort, nach der Verzögerung im Ausgangsschaltnetz, auf Änderungen der Eingangssignale. Seite 7-3

5 Moore-Automat Der Moore-Automat wird durch folgende Schaltfunktionen bestimmt: Damit ergibt sich für das Blockschaltbild des Moore-Automaten: Die Ausgangsfunktion hängt beim Moore-Automaten nicht direkt von den Eingangssignalen, sondern nur vom aktuellen Zustand ab. Eine Beeinflussung der Ausgangswerte durch die Eingangssignale erfolgt nur indirekt über den Folgezustand. Daher reagiert ein Moore-Automat mit seinen Ausgabewerten erst in der folgenden Taktperiode auf Änderungen der Eingangswerte. Vom Gesichtspunkt der logischen Funktionalität sind beide Automatentypen gleichwertig, und können ineinander umgewandelt werden. Der Mealy-Automat kommt in der Regel mit weniger Zuständen aus, und besitzt ein einfacheres Übergangschaltnetz als der Moore- Automat. Dafür ist das Ausgangsschaltnetz des Moore-Automaten einfacher und seine Ausgabewerte werden quasi-synchron, nach der Verzögerung im Ausgangschaltnetz, nur direkt abhängig vom aktuellen Zustand, ausgegeben. Um beim Mealy-Automaten synchrone Ausgangswerte zu erhalten, müssen diese am Ausgang über ein synchron geschaltetes Register ausgegeben werden. Seite 7-4

6 Medwedjew-Automat Der Medwedjew-Automat wird durch folgende Schaltfunktionen bestimmt: Damit ergibt sich für das Blockschaltbild des Medwedjew-Automaten: Die Ausgangsfunktion ist identisch mit dem Zustand, d. h. das Ausgangschaltnetz fehlt, und die Ausgangssignale ergeben sich direkt aus der Codierung des Zustandes. Seite 7-5

7 Beschreibung von Zustandsautomaten Es gibt verschiedene Möglichkeiten Zustandsautomaten zu beschreiben. Nachfolgend sind einige aufgeführt auf die mehr oder weniger ausführlich eingegangen wird. 1.) Graphische Darstellungen - Zustandsübergangsgraphen - ASM (Algorithmic State Machine ) Graphen - Petri-Netze - Schaltpläne 2.) Tabellendarstellungen - Zustandsübergangstabelle, Ausgangswertetabelle (Automatentabelle), Implementierungstabelle - KV-Diagramme 3.) Schaltfunktionen Zustandsübergangsgraph Ein Zustandsübergangsgraph, oder einfacher Zustandsgraph, beschreibt das Verhalten eines Zustandsautomaten in graphischer Darstellung. Er besteht aus Knoten und Kanten. Die Knoten werden als Kreise gezeichnet und stellen die inneren Zustände des Zustandsautomaten dar. Die Kanten werden als richtungsweisende Linien zwischen den Knoten gezeichnet, und sie stellen die Übergänge zwischen den Zuständen dar. Grundform eines Zustandsgraphen Die Eingangswerte, die zu Zustandsänderungen führen werden an die Kanten geschrieben. Die Ausgangswerte werden bei Mealy-Automaten ebenfalls an die Kanten geschrieben. Bei Moore-Automaten werden die Ausgangswerte entweder zu den Zuständen in die Knoten oder an die Knoten geschrieben. Bei Medwedjew-Automaten entsprechen die Ausgangswerte der jeweiligen Zustandskodierung. Seite 7-6

8 In nachfolgender Abbildung ist der Zustandsgraph eines Moore- Automaten a) und eines äquivalenten Mealy-Automaten b) dargestellt. Der Takt wird in Zustandsgraphen nicht dargestellt. Von einem in den nächsten Zustand weitergeschaltet wird jedoch nur, wenn die Zustandsübergangsbedingungen erfüllt sind, und wenn eine Taktweiterschaltung durch eine ansteigende bzw. abfallende Taktflanke erfolgt. Sind die Zustandsübergangsbedingungen nicht erfüllt, dann verweilt der Automat solange im jeweiligen Zustand bis sie erfüllt sind. Automatentabelle Aus dem Zustandsgraphen kann man die Automatentabelle erzeugen und umgekehrt. Eine Automatentabelle enthält zwei Einzeltabellen: 1.) Die Zustandsübergangstabelle, die den Folgezustand abhängig vom momentanen Zustand und den Eingangswerten angibt. 2.) Die Ausgangswertetabelle, in der die Ausgangswerte abhängig vom Zustand, beim Mealy-Automaten zusätzlich abhängig von den Eingangswerten, aufgeführt sind. In nachfolgender Abbildung sind die Automatentabellen der Zustandsautomaten dargestellt, deren Zustandsgraphen zuvor diskutiert wurden. Bei a) steht die Automatentabelle des Moore-Automaten. Bei b) steht die Automatentabelle des Mealy-Automaten. Mit n ist der aktuelle Schaltzustand bezeichnet. Mit n+1 ist der Schaltzustand des Folgezustandes bezeichnet. Seite 7-7

9 Die Zustandsübergangstabelle dient als Ausgangspunkt zur Herleitung der Schaltfunktion des Folgezustandes, woraus das entsprechende Schaltnetz hergeleitet wird. Mit Hilfe der Ausgangswertetabelle wird die Schaltfunktion des Ausgangsschaltnetzes hergeleitet. Synthese von Zustandsautomaten Die Synthese von Zustandsautomaten bedeutet, dass aus einer funktionellen, verbalen Beschreibung ein Schaltwerk zu entwerfen ist. Dabei empfiehlt es sich systematisch vorzugehen, wie z.b. nachfolgend aufgeführt: 1.) Es ist die Zustandsmenge zu ermitteln und eine Festlegung des Anfangszustandes vor zu nehmen. 2.) Die Eingangs- und Ausgangsgrößen sind fest zu legen. 3.) Der Zustandsgraph ist zu zeichnen. 4.) Die Anzahl der Zustände ist zu minimieren, d.h. mehrfach vorkommende, äquivalente Zustände werden eliminiert. 5.) Die Zustandskodierung ist fest zu legen. 6.) Die Zustandsübergangstabelle ist zu erstellen. 7.) Aus der Zustandsübergangstabelle ist, unter Berücksichtigung des Schaltverhaltens der ausgewählten Flip-Flops, die sogenannte Implementierungstabelle zu erstellen. Bei Verwendung von D-Flip- Flops entfällt dieser Schritt, da in diesem Fall beide Tabellen gleich sind. 8.) Aus der Implementierungstabelle sind die Übergangsschaltfunktionen her zu leiten, die zusammen mit dem Schaltverhalten der Flip-Flops den Folgezustand bestimmen. Diese Schaltfunktionen werden auch Anregungsfunktionen ( excitation Seite 7-8

10 functions ) genannt, da sie die Eingangswerte für die Flip-Flops liefern, und daher bei Schaltvorgängen Änderungen am Ausgang der Flip-Flops hervorrufen. 9.) Die Ausgangswahrheitstabelle ist aufzustellen. 10.) Die Ausgangsschaltfunktion ist aus der Ausgangswahrheitstabelle herzuleiten. 11.) Der Zustandsautomat ist in einem Schaltplan darzustellen. Dazu sind die Schaltfunktionen in die entsprechenden Schaltnetze zu übertragen, und es sind die Speicherglieder und alle Verbindungen zu zeichnen. Bei der Codierung der Zustände werden die vorkommenden Zustände mit binärwertigen Ausdrücken bezeichnet. Mehrere unterschiedliche Codierungsmöglichkeiten werden angewendet: Durchnummerierende, binäre Codierung: Bei dieser Codierung werden die Zustände einfach der Reihe nach durchnummeriert. Für n Zustände werden dann log 2 (n) Flip-Flops benötigt. Benachbarte, binäre Codierung: Bei dieser Codierungsart wird die Codierung eines Zustandes und seines Folgezustandes derart gewählt, dass sich der Wert möglichst nur bei einer Bitposition ändert (z.b. Gray-Code ). Dies führt zu einem geringeren Schaltungsaufwand. One-Hot-Codierung: Bei dieser Codierungsart wird jedem Zustand eine eigene Bitposition zugeordnet. Dadurch wird jedem Zustand ein eigenes Flip-Flop zugeordnet. Dies führt zu einfachen, leicht nachzuvollziehenden Übergangsschaltnetzen, die kurze Schaltzeiten ermöglichen. Ausgangssignalbezogene Codierung: Bei dieser Codierungsart wird die Codierung auf die benötigten Ausgangssignale abgestimmt. Diese findet z. B. beim Medwedjew-Automaten Anwendung. Synthese eines einfachen Moore-Automaten Die einzelnen Synthese-Schritte sollen anhand der Synthese eines Modulo- 6-Aufwärtszählers verdeutlicht werden. Mit einem asynchronen Reset R soll der Zähler in den Ausgangszustand, den Zustand Zahl null, gebracht werden können. Mit einem Eingangssignal C ( Count-Enable ) soll eine Zählerfreigabe erfolgen. Die Zustandsmenge entspricht den sechs Zahlen 0 Seite 7-9

11 bis 5. Neben dem jeweiligen Zahlenausgang, soll es noch ein Ausgang Y geben, der anzeigt, wenn die Zahl 5 als Zählergebnis vorliegt. Da der jeweilige Zählerstand ausgegeben werden soll, ist es sinnvoll für die Codierung der Zustände die durchnummerierende, binäre Codierung zu wählen. In der nachfolgenden Abbildung ist der Zustandsübergangsgraph des Modulo-6-Aufwärtszählers dargestellt. Für die Implementierung werden D-Flip-Flops mit asynchronem Rücksetzeingang (Clear) verwendet. Die Zustandsübergangstabelle und die Implementierungstabelle sind dann identisch, weil bei D-Flip-Flops gilt: Q n+1 = D. Zustandsübergangstabelle und Implementierungstabelle sind in nachfolgender Abbildung dargestellt. Seite 7-10

12 Mittels KV-Diagrammen ergeben sich daraus die Übergangsschaltfunktionen in disjunktiver Minimalform. D 2 = ( C Q 2 ) (Q 2 Q 0 ) (C Q 1 Q 0 ) D 1 = ( C Q 1 ) (Q 1 Q 0 ) (C Q 2 Q 1 Q 0 ) D 0 = ( C Q 0 ) (C Q 0 ) = C XOR Q 0 Die Ausgangswahrheitstabelle ist in nachfolgender Abbildung dargestellt. Aus der Ausgangswahrheitstabelle ergibt sich sofort die Ausgangsschaltfunktion. Y = Q 2 Q 1 Q 0 Beim zeichnen des Schaltplans empfiehlt es sich zuerst die Flip-Flops ein zu zeichnen. Dann sind die Übergangsschaltfunktionen und die Ausgangsschaltfunktion ein zu zeichnen. In nachfolgender Abbildung ist der Schaltplan dargestellt, den man für den Modulo-6-Zähler erhält. Seite 7-11

13 Analyse von Zustandsautomaten Einen Zustandsautomaten zu analysieren bedeutet sein Schaltverhalten zu untersuchen und zu beschreiben. Folgendes systematische Vorgehen ist zu empfehlen: 1.) Aus dem Schaltnetz der Übergangslogik sind die Anregungsfunktionen herzuleiten. 2.) Unter Verwendung der Übergangsfunktion der jeweiligen Flip- Flops sind aus den Anregungsfunktionen die Zustandsübergangsfunktionen zu ermitteln. 3.) Mit Hilfe der Zustandsübergangsfunktionen kann die Zustandsübergangstabelle aufgestellt werden. 4.) Aus dem Schaltnetz sind die Ausgangsschaltfunktionen herzuleiten. 5.) Mit Hilfe der Ausgangsschaltfunktionen kann die Ausgangswertetabelle aufgestellt werden. 6.) Mittels der Zustandsübergangstabelle und der Ausgangswertetabelle kann der Zustandsgraph gezeichnet werden und das Zeitverhalten des Automaten kann analysiert werden. In der folgenden Abbildung ist der Schaltplan eines Zustandsautomaten dargestellt, der analysiert werden soll. Seite 7-12

14 Aus dem Schaltplan lassen sich sofort die Anregungsfunktionen der D- Flip-Flops entnehmen. Sie lauten: D 1 = ( C Q 1 ) (Q 1 Q 0 ) (C Q 1 Q 0 ) D 0 = ( C Q 0 ) (C Q 0 ) Da die Übertragungsfunktion für D-Flip-Flops Q n+1 = D lautet, ergeben sich sofort die Zustandsübergangsfunktionen des Automaten: Q 1n+1 = ( C Q 1 ) (Q 1 Q 0 ) (C Q 1 Q 0 ) Q 0n+1 = ( C Q 0 ) (C Q 0 ) Mit deren Hilfe lässt sich die Zustandsübergangstabelle erstellen, die in nachfolgender Abbildung dargestellt ist. Die Ausgangschaltfunktion lässt sich unmittelbar dem Schaltplan entnehmen. Sie lautet: Y = Q 1 Q 0 Daraus ergibt sich die Ausgangswahrheitstabelle, die in nachfolgender Abbildung dargestellt ist. Seite 7-13

15 Da nun die komplette Automatentabelle aus Zustandsübergangstabelle und Ausgangswahrheitstabelle vorliegt, sind alle Informationen vorhanden um den Zustandsübergangsgraph zu zeichnen. In nachfolgender Abbildung ist der Zustandsübergangsgraph des untersuchten Automaten dargestellt. Zur vollständigen Analyse kann man noch ein Impulsdiagramm erstellen, um das Verhalten des Automaten als Funktion der Zeit zu untersuchen. Ein solches Impulsdiagramm ist in nachfolgender Abbildung dargestellt. Da der Ausgang nicht von den Eingängen abhängt, und auch nicht identisch ist mit den Zuständen, handelt es sich um einen Moore- Automaten. Dies ergibt sich auch aus der zeitlichen Analyse des Impulsdiagramms. Der Automat besitzt zudem ein asynchrones Reset mit dem er in den Anfangszustand versetzt werden kann. Es handelt sich bei dem Automaten um einen Modulo-4-Aufwärtszähler. Seite 7-14

16 Ungenutzte Zustandskodierungen in Zustandsautomaten Wird eine bestimmte Kodierung für die Zustände festgelegt, so kommt es vor, dass einige Kodierungswerte ungenutzt bleiben, da es weniger relevante Zustände als mögliche Kodierungswerte gibt. Wenn sichergestellt ist, dass der Zustandsautomat nie in einen Zustand gelangen kann, der einer solchen Kodierung entspricht, dann kann man diese Zustände bei der Synthese als dont t-care -Zustände betrachten. Kann ein Zustandsautomat in einen solchen Zustand gelangen, dann ist bei der Synthese sicherzustellen, dass er ohne Bedingung sofort von diesem Zustand in den Startzustand übergeht. Dazu werden diese Zustände bei der Synthese explizit derart berücksichtigt, dass ihr Folgezustand der Startzustand ist. Zeitverhalten von synchronen Zustandsautomaten Bei Zustandsautomaten hängt der Folgezustand Q n+1 vom aktuellen Zustand Q n ab, d. h. der aktuelle Zustand wird in das Schaltnetz zurückgekoppelt, das den Folgezustand berechnet. Aufgrund dieser Rückkopplung ergeben sich zwei zeitliche Rückkopplungsbedingungen, die erfüllt sein müssen, damit ein Zustandsautomat fehlerfrei funktionieren kann. Zeitverhältnisse bei einem synchronen Zustandsautomaten Wird bei der n-ten Taktflanke der n-te Zustand aktualisiert, so benötigt das Zustandssignal zunächst die Laufzeit T CO ( CO = Clock to Output ) bis zum Ausgang des Flip-Flops, weiter wird bis zum Eingang des Übergangsschaltnetzes die Laufzeit T wd1 ( wd = wire delay ) benötigt. Seite 7-15

17 Für die Berechnung des Folgezustandes im Übergangsschaltnetz wird die Zeit T pd ( pd = propagation delay ) benötigt. Das berechnete Signal des Folgezustandes benötigt dann die Laufzeit T wd2, um über die entsprechende Leiterbahn, zum Eingang des Flip-Flops zu gelangen. Dort muss das Signal mindestens während der Set-up-Time T SU anliegen, damit das Flip-Flop sicher schalten kann. Daher ergibt sich insgesamt für die Periodendauer T cycle die Zeitbedingung ( 1. Rückkopplungsbedingung ): Zudem muss sichergestellt sein, dass während der Hold-Time T h des Flip-Flops nicht bereits der neue Folgezustand am Eingang des Flip-Flops anliegen kann. Daraus ergibt sich die 2. Rückkopplungsbedingung: Da für die Kodierung der Zustände in der Regel mehrere Flip-Flops notwendig sind, ist zusätzlich noch die unterschiedliche Laufzeit des Taktsignals ( clock ) zu den verschiedenen Flip-Flops zu berücksichtigen. Diese Differenz in den Clock-Laufzeiten wird Taktsignalverschiebung ( Clock-Skew ) genannt. Diese Taktsignalverschiebung kann zum einen die Taktperiode des Zustandsautomaten vergrößern, und zum anderen kann sie zu einer Verkürzung der Rückkopplungszeit führen, was in der zweiten Rückkopplungsbedingung zu berücksichtigen ist. Damit lauten die beiden vollständigen Rückkopplungsbedingungen: Da die Ausgangssignale eines Automaten nicht zurückgekoppelt werden, sind deren Verzögerungen unkritisch für den Betrieb eines Automaten. Seite 7-16

18 Zustandsautomaten1als Steuerwerke, mikroprogrammierte Steuerwerke Zustandsautomaten werden dazu verwendet um Steuerwerke zu realisieren. Steuerwerke steuern den Ablauf eines oder mehrerer Vorgänge. Zur Implementierung von Steuerwerken stehen grundsätzlich drei unterschiedliche Möglichkeiten zur Verfügung: 1.) Die Schaltfunktionen, die mittels Synthese ermittelt werden, werden als Netzliste in eine Hardware umgesetzt, d. h. es liegt dann eine fest verdrahtete Hardware vor. Eine solche Lösung wird angewendet, wenn ein schnelles und nicht zu großes Steuerwerk gewünscht wird, das nicht mehr angepasst werden muss. 2.) Das Steuerwerk wird als Mikroprogrammsteuerwerk realisiert. Hierbei werden die Schaltfunktionen durch die Programmierung von Festwertspeichern, die unter Umständen wiederprogrammierbar sind, realisiert. Diese Methode wird bei sehr großen Schaltwerken angewendet. Diese Schaltwerke können bei der Verwendung von wiederprogrammierbaren Festwertspeichern auch leicht abgeändert werden. Ein solches Steuerwerk arbeitet in der Regel langsamer als ein fest verdrahtetes Steuerwerk, da ein Lesevorgang relativ lange dauert. 3.) Realisierung des Steuerwerkes mittels einmal oder mehrfach programmierbarer Logikbausteine wie: CPLD s (Complex Programmable Logic Devices ) oder FPGA s ( Field Programmable Gate Arrays). Die Verwendung von mehrfach programmierbaren Bausteinen erlaubt die Realisierung sehr schneller Steuerwerke, die bei Bedarf an eine geänderte Aufgabenstellung angepasst werden können. Sie erlauben damit eine Kompromisslösung zwischen den fest verdrahteten und mikroprogrammierten Steuerwerken zu realisieren. Mikroprogrammierte Steuerwerke Bei mikroprogrammierten Steuerwerken kommen Festwertspeicher zum Einsatz, die, wenn sie nur einmal beschrieben werden können, als ROMs (Read Only Memorys) bezeichnet werden. In den Speicherzeilen der ROMs wird eine sogenannte Mikroinstruktion, die den Folgezustand und die Werte der Steuervariablen zum jeweilig aktuellen Zustand enthält, gespeichert. Zum Auswählen der jeweiligen Speicherzeile dient ein Adressdekoder. Die Adresse setzt sich aus dem aktuellen Zustand und den Statusvariablen als Eingangsvariablen zusammen. Seite 7-17

19 Nachfolgende Abbildung zeigt den schematischen Aufbau, die Struktur der ROM-Adressierung und den Inhalt einer Mikroinstruktion eines mikroprogrammierten Steuerwerkes. Wie der Abbildung zu entnehmen ist, werden über das ROM der Folgezustand und die jeweiligen Steuervariablen ermittelt. Der Folgezustand wird im Adressregister als aktueller Zustand gespeichert und steht damit als Teil der Adresse zur Auswahl der Mikroinstruktion zur Verfügung. Das ROM übernimmt offensichtlich die Aufgaben des Übergangsschaltnetzes und des Ausgangschaltnetzes. Die Funktionsweise und die Programmierung eines mikroprogrammierten Steuerwerks werden nachfolgend anhand eines einfachen Beispiels erläutert. Nachfolgende Abbildung zeigt die Aufgabenstellung und den Zustandsgraphen einer einfachen Fahrstuhlsteuerung. Seite 7-18

20 Das Steuerwerk besitzt zum einen die beiden Eingangssignale B 0 und B 1, über die im jeweiligen Stockwerk der Bedarf des Fahrstuhls angemeldet wird. Zum anderen zeigen die beiden Eingangssignale L 0 und L 1 an, ob der Fahrstuhl im Erdgeschoss, Index 0, oder im ersten Stock, Index 1, steht. Als Ausgangs- und damit Steuersignale dienen die beiden Signale U ( Up ) und D ( Down ), mit denen der Motor gesteuert wird, ob er den Aufzug aufwärts, U = 1 und D = 0, oder abwärts, U = 0 und D = 1, fahren sollen. Für das gesamte Steuerwerk werden vier Zustände verwendet. Im Zustand q 1 q 2 = 00 befindet sich der Aufzug in der Aufwärtsbewegung bis der erste Stock erreicht ist ( L 1 = 1). Im Zustand q 1 q 2 = 10 befindet sich der Fahrstuhl im ersten Stock und bleibt dort solange bis ein Fahrgast im Erdgeschoss einen Fahrtwunsch signalisiert ( B 0 = 1 ). Seite 7-19

21 Im Zustand q 1 q 2 = 11 befindet sich der Aufzug in der Abwärtsbewegung bis das Erdgeschoss erreicht ist ( L 0 = 1 ). Im Zustand q 1 q 2 = 01 befindet sich der Fahrstuhl im Erdgeschoss und bleibt dort solange bis ein Fahrgast im ersten Stock einen Fahrtwunsch signalisiert ( B 1 = 1 ). Folgende Abbildung zeigt die Umsetzung des diskutierten Zustandsautomaten in ein mikroprogrammiertes Steuerwerk. Die Eingangssignale L 0, L 1, B 0, B 1 und die beiden aktuellen Zustandswerte q 0 und q 1 dienen der ROM-Adressierung um die passende Mikroinstruktion aus zu wählen, die den Folgezustand und die Werte der Steuersignale U und D enthält. Diese direkte Umsetzung eines Zustandsautomaten in ein mikroprogrammiertes Steuerwerk ist auf der einen Seite zwar recht einfach auf der anderen Seite benötigt sie aber ein unnötig großes ROM, da sie 2 n Speicherzeilen vorhalten muss, wobei n die Anzahl der Adressbits ist. Die meisten dieser Speicherzeilen sind jedoch mit identischen Inhalten belegt. Aus diesem Grund empfiehlt es sich ein sogenanntes adressmodifizierendes mikroprogrammiertes Steuerwerk ein zu setzen. Seite 7-20

22 Bei einem solchen Steuerwerk wird nur noch der aktuelle Zustand zur Adressierung des ROMs herangezogen. Die nachfolgende Abbildung zeigt das Instruktionsformat eines adressmodifizierenden mikroprogrammierten Steuerwerks. Zudem ist die Umsetzung des Fahrstuhlautomaten in ein solches Steuerwerk gezeigt. Über die Kontrollflussvariablen werden in jedem Zustand die Verzweigungsbedingungen, d. h. die Werte der jeweils relevanten Eingangssignale ermittelt, um den entsprechenden Folgezustand aus zu wählen. Dazu steuern die Kontrollflussvariablen einen Multiplexer an dessen Eingänge die entsprechenden Verzweigungsbedingungen anliegen. Je nachdem, ob die Bedingung erfüllt ist oder nicht, wird zum Verzweigungszustand oder zum konsekutiven Folgezustand übergegangen. Seite 7-21

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014)

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014) Handbuch NAFI Online-Spezial 1. Auflage (Stand: 24.09.2014) Copyright 2016 by NAFI GmbH Unerlaubte Vervielfältigungen sind untersagt! Inhaltsangabe Einleitung... 3 Kundenauswahl... 3 Kunde hinzufügen...

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Anwendungshinweise zur Anwendung der Soziometrie

Anwendungshinweise zur Anwendung der Soziometrie Anwendungshinweise zur Anwendung der Soziometrie Einführung Die Soziometrie ist ein Verfahren, welches sich besonders gut dafür eignet, Beziehungen zwischen Mitgliedern einer Gruppe darzustellen. Das Verfahren

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung-

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung- ? -Quick-And-Dirty-Lösung- Vorwort Nach Anfragen aus dem Win-Digipet-Forum möchte ich folgende Quick-And-Dirty-Lösung vorstellen mit der man sich mal eben virtuelle Kontakte erstellen kann. Vorweg muß

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Zahlen auf einen Blick

Zahlen auf einen Blick Zahlen auf einen Blick Nicht ohne Grund heißt es: Ein Bild sagt mehr als 1000 Worte. Die meisten Menschen nehmen Informationen schneller auf und behalten diese eher, wenn sie als Schaubild dargeboten werden.

Mehr

1. Einführung 2. 2. Erstellung einer Teillieferung 2. 3. Erstellung einer Teilrechnung 6

1. Einführung 2. 2. Erstellung einer Teillieferung 2. 3. Erstellung einer Teilrechnung 6 Inhalt 1. Einführung 2 2. Erstellung einer Teillieferung 2 3. Erstellung einer Teilrechnung 6 4. Erstellung einer Sammellieferung/ Mehrere Aufträge zu einem Lieferschein zusammenfassen 11 5. Besonderheiten

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Zwischenablage (Bilder, Texte,...)

Zwischenablage (Bilder, Texte,...) Zwischenablage was ist das? Informationen über. die Bedeutung der Windows-Zwischenablage Kopieren und Einfügen mit der Zwischenablage Vermeiden von Fehlern beim Arbeiten mit der Zwischenablage Bei diesen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen Binäre Bäume 1. Allgemeines Binäre Bäume werden grundsätzlich verwendet, um Zahlen der Größe nach, oder Wörter dem Alphabet nach zu sortieren. Dem einfacheren Verständnis zu Liebe werde ich mich hier besonders

Mehr

PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN

PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN Karlsruhe, April 2015 Verwendung dichte-basierter Teilrouten Stellen Sie sich vor, in einem belebten Gebäude,

Mehr

Mandant in den einzelnen Anwendungen löschen

Mandant in den einzelnen Anwendungen löschen Mandant in den einzelnen Anwendungen löschen Bereich: ALLGEMEIN - Info für Anwender Nr. 6056 Inhaltsverzeichnis 1. Allgemein 2. FIBU/ANLAG/ZAHLUNG/BILANZ/LOHN/BELEGTRANSFER 3. DMS 4. STEUERN 5. FRISTEN

Mehr

Kurzanleitung fu r Clubbeauftragte zur Pflege der Mitgliederdaten im Mitgliederbereich

Kurzanleitung fu r Clubbeauftragte zur Pflege der Mitgliederdaten im Mitgliederbereich Kurzanleitung fu r Clubbeauftragte zur Pflege der Mitgliederdaten im Mitgliederbereich Mitgliederbereich (Version 1.0) Bitte loggen Sie sich in den Mitgliederbereich mit den Ihnen bekannten Zugangsdaten

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

DSO. Abtastrate und Speichertiefe

DSO. Abtastrate und Speichertiefe DSO Abtastrate und Speichertiefe Inhalt Inhalt...- 1 - Feine Signaldetails und lange Abtastzeiträume...- 2 - Was ein großer Speicher bewirkt...- 2 - Einfluss der Oszilloskop-Architektur auf die Update-Rate...-

Mehr

104 WebUntis -Dokumentation

104 WebUntis -Dokumentation 104 WebUntis -Dokumentation 4.1.9.2 Das elektronische Klassenbuch im Betrieb Lehrer Aufruf Melden Sie sich mit Ihrem Benutzernamen und Ihrem Passwort am System an. Unter den aktuellen Tagesmeldungen erscheint

Mehr

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de 1. Herr Meier bekommt nach 3 Jahren Geldanlage 25.000. Er hatte 22.500 angelegt. Wie hoch war der Zinssatz? 2. Herr Meiers Vorfahren haben bei der Gründung Roms (753. V. Chr.) 1 Sesterze auf die Bank gebracht

Mehr

WinVetpro im Betriebsmodus Laptop

WinVetpro im Betriebsmodus Laptop WinVetpro im Betriebsmodus Laptop Um Unterwegs Daten auf einem mobilen Gerät mit WinVetpro zu erfassen, ohne den Betrieb in der Praxis während dieser Zeit zu unterbrechen und ohne eine ständige Online

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Internationales Altkatholisches Laienforum

Internationales Altkatholisches Laienforum Internationales Altkatholisches Laienforum Schritt für Schritt Anleitung für die Einrichtung eines Accounts auf admin.laienforum.info Hier erklären wir, wie ein Account im registrierten Bereich eingerichtet

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Plotten von Linien ( nach Jack Bresenham, 1962 )

Plotten von Linien ( nach Jack Bresenham, 1962 ) Plotten von Linien ( nach Jack Bresenham, 1962 ) Ac Eine auf dem Bildschirm darzustellende Linie sieht treppenförmig aus, weil der Computer Linien aus einzelnen (meist quadratischen) Bildpunkten, Pixels

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

4 Aufzählungen und Listen erstellen

4 Aufzählungen und Listen erstellen 4 4 Aufzählungen und Listen erstellen Beim Strukturieren von Dokumenten und Inhalten stellen Listen und Aufzählungen wichtige Werkzeuge dar. Mit ihnen lässt sich so ziemlich alles sortieren, was auf einer

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Nicht kopieren. Der neue Report von: Stefan Ploberger. 1. Ausgabe 2003

Nicht kopieren. Der neue Report von: Stefan Ploberger. 1. Ausgabe 2003 Nicht kopieren Der neue Report von: Stefan Ploberger 1. Ausgabe 2003 Herausgeber: Verlag Ploberger & Partner 2003 by: Stefan Ploberger Verlag Ploberger & Partner, Postfach 11 46, D-82065 Baierbrunn Tel.

Mehr

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu])

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) 3.7 Erstellen einer Collage Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) Dann Größe des Dokuments festlegen beispielsweise A4 (weitere

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Übungsaufgaben Tilgungsrechnung

Übungsaufgaben Tilgungsrechnung 1 Zusatzmaterialien zu Finanz- und Wirtschaftsmathematik im Unterricht, Band 1 Übungsaufgaben Tilgungsrechnung Überarbeitungsstand: 1.März 2016 Die grundlegenden Ideen der folgenden Aufgaben beruhen auf

Mehr

WinWerk. Prozess 6a Rabatt gemäss Vorjahresverbrauch. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon

WinWerk. Prozess 6a Rabatt gemäss Vorjahresverbrauch. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon WinWerk Prozess 6a Rabatt gemäss Vorjahresverbrauch 8307 Effretikon Telefon: 052-740 11 11 Telefax: 052-740 11 71 E-Mail info@kmuratgeber.ch Internet: www.winwerk.ch Inhaltsverzeichnis 1 Ablauf der Rabattverarbeitung...

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

Quadratische Gleichungen

Quadratische Gleichungen Quadratische Gleichungen Aufgabe: Versuche eine Lösung zu den folgenden Zahlenrätseln zu finden:.) Verdoppelt man das Quadrat einer Zahl und addiert, so erhält man 00..) Addiert man zum Quadrat einer Zahl

Mehr

Gezielt über Folien hinweg springen

Gezielt über Folien hinweg springen Gezielt über Folien hinweg springen Nehmen wir an, Sie haben eine relativ große Präsentation. Manchmal möchten Sie über Folien hinweg zu anderen Folien springen. Das kann vorkommen, weil Sie den gesamten

Mehr

Abschlussprüfung Realschule Bayern II / III: 2009 Haupttermin B 1.0 B 1.1

Abschlussprüfung Realschule Bayern II / III: 2009 Haupttermin B 1.0 B 1.1 B 1.0 B 1.1 L: Wir wissen von, dass sie den Scheitel hat und durch den Punkt läuft. Was nichts bringt, ist beide Punkte in die allgemeine Parabelgleichung einzusetzen und das Gleichungssystem zu lösen,

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

Benutzerhandbuch - Elterliche Kontrolle

Benutzerhandbuch - Elterliche Kontrolle Benutzerhandbuch - Elterliche Kontrolle Verzeichnis Was ist die mymaga-startseite? 1. erste Anmeldung - Administrator 2. schnittstelle 2.1 Administrator - Hautbildschirm 2.2 Administrator - rechtes Menü

Mehr

Stammdatenanlage über den Einrichtungsassistenten

Stammdatenanlage über den Einrichtungsassistenten Stammdatenanlage über den Einrichtungsassistenten Schritt für Schritt zur fertig eingerichteten Hotelverwaltung mit dem Einrichtungsassistenten Bitte bereiten Sie sich, bevor Sie starten, mit der Checkliste

Mehr

LEITFADEN ZUR SCHÄTZUNG DER BEITRAGSNACHWEISE

LEITFADEN ZUR SCHÄTZUNG DER BEITRAGSNACHWEISE STOTAX GEHALT UND LOHN Stollfuß Medien LEITFADEN ZUR SCHÄTZUNG DER BEITRAGSNACHWEISE Stand 09.12.2009 Seit dem Januar 2006 hat der Gesetzgeber die Fälligkeit der SV-Beiträge vorgezogen. So kann es vorkommen,

Mehr

Prozentrechnung. Wir können nun eine Formel für die Berechnung des Prozentwertes aufstellen:

Prozentrechnung. Wir können nun eine Formel für die Berechnung des Prozentwertes aufstellen: Prozentrechnung Wir beginnen mit einem Beisiel: Nehmen wir mal an, ein Handy kostet 200 und es gibt 5% Rabatt (Preisnachlass), wie groß ist dann der Rabatt in Euro und wie viel kostet dann das Handy? Wenn

Mehr

Software-Engineering SS03. Zustandsautomat

Software-Engineering SS03. Zustandsautomat Zustandsautomat Definition: Ein endlicher Automat oder Zustandsautomat besteht aus einer endlichen Zahl von internen Konfigurationen - Zustände genannt. Der Zustand eines Systems beinhaltet implizit die

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

ZAHLUNGSAVIS. Im Zahlungsprogrammteil automatisch erstellen

ZAHLUNGSAVIS. Im Zahlungsprogrammteil automatisch erstellen DIBU GS/XP Finanzbuchhaltung Erweiterung ZAHLUNGSAVIS Im Zahlungsprogrammteil automatisch erstellen Seite - 1 - von 8 Seite(n) Stand März 2005-03-28 Technische Hinweise: Geänderte Programme FIZAHL1, FIZAHL2,

Mehr

So gehts Schritt-für-Schritt-Anleitung

So gehts Schritt-für-Schritt-Anleitung So gehts Schritt-für-Schritt-Anleitung Software WISO Mein Büro Thema Eigene Auswertungen, Tabellenauswertungen Version/Datum V 13.00.05.101 Über die Tabellen-Auswertungen ist es möglich eigene Auswertungen

Mehr

Bereich METIS (Texte im Internet) Zählmarkenrecherche

Bereich METIS (Texte im Internet) Zählmarkenrecherche Bereich METIS (Texte im Internet) Zählmarkenrecherche Über die Zählmarkenrecherche kann man nach der Eingabe des Privaten Identifikationscodes einer bestimmten Zählmarke, 1. Informationen zu dieser Zählmarke

Mehr

Bedingungen. Bedingungen. Bedingungen

Bedingungen. Bedingungen. Bedingungen Oftmals ist das Arbeiten mit notwendig. Dabei können sich die auf Formatierungen beziehen, aber auch auf Transformationen. Bedingte Formatierung Datentransformation 24.04.2006 Einführung in Excel 91 24.04.2006

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

QM: Prüfen -1- KN16.08.2010

QM: Prüfen -1- KN16.08.2010 QM: Prüfen -1- KN16.08.2010 2.4 Prüfen 2.4.1 Begriffe, Definitionen Ein wesentlicher Bestandteil der Qualitätssicherung ist das Prüfen. Sie wird aber nicht wie früher nach der Fertigung durch einen Prüfer,

Mehr

Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es:

Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es: Bei Rückfragen erreichen Sie uns unter 0571-805474 Anleitung Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es: Inhalt 1 Hintergrund zur elektronischen Rechnung

Mehr