Digitaltechnik SS2013. Praktikum Digitaltechnik

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik SS2013. Praktikum Digitaltechnik"

Transkript

1 Praktikum Digitaltechnik Inhalt Praktikum Digitaltechnik... 1 Durchführung... 1 Versuch 01: CMOS-Transistoren als Logikgatter Transferkennlinie U out (U in ) eines Inverters Verzögerung eines Inverters Aufbau einer logischen Funktion... 3 Starting with Electronics Explorer Board Hardware WaveForms Software Oscilloscope Arbitrary Waveform Generator Power Supplies and Voltmeters Digital I/O... 9 Static Input/Output (SIO)... 9 Schaltplanerstellung für das Electronic Explorer Board Versuch 02: Logikfunktionen mit 3 Eingängen mit NAND Gattern oder Multiplexern Aufbau der logischen Funktion mit NAND Gattern Aufbau einer logischen Funktion mit einem Multiplexer Versuch 03: Logikfunktionen mit einem FPGA Board Verdrahtung herstellen Konfigurationsdatei hinzufügen Wahrheitstabelle erstellen Versuch 04: Erweiterung der Logikfunktionen mit einem FPGA Board Simulation und Testvektoren Erweiterung der Schaltung mit einem Schaltplan Schaltungssimulation und Wahrheitstabelle Versuch 05: Eine Finite state machine im FPGA Das Board und die Knöpfe Projekterstellung Verbindung der Schaltungsblöcke Zustandsmaschine Statex.vhdl Inbetriebnahme und Test Das Program Xilinx ISE Design Suite Erstellen eines digitalen Designs Durchführung Lesen Sie vor dem Versuch die Anleitung. Dokumentieren Sie jeden Versuch in einer Ausarbeitung mit VHDL code, Bildschirmkopien der Ergebnisse und Ihren persönlichen Erfahrungen.

2 Versuch 01: CMOS-Transistoren als Logikgatter Sie lernen, wie man CMOS Transistoren für die Realisierung von Logikgattern verwenden kann. Untersucht wird ein Inverter und eine NAND Funktion des CMOS IC Es steht Ihnen ein Electronic Explorer Board zum Aufbau und der Messung zur Verfügung. Das Board hat ein 4 Kanal Oszilloskop, 2 Spannungsversorgungen, 2 Signalgeneratoren und 31 Digitale IOs zur Verfügung. 1.1 Transferkennlinie Uout(Uin) eines Inverters Bauen Sie die skizzierte Schaltung mit dem CMOS IC 4007 auf dem Electronic Explorer Board auf. Verbinden Sie den Eingang mit dem Signalgenerator 1 AWG1 und dem Kanal 1 des Oszilloskops. Die Schaltung wird mit 5V über die Spannungsversorgung VP+ verbunden. Der Ausgang Pin12 wird mit dem Kanal2 des Oszilloskops verbunden. Pin Verbindung GND GND AWG1 VP+ SCOPE 2 VP+ SCOPE 1 Nehmen Sie folgende Einstellungen vor: VP+=5V AWG1: Dreieck 0..5V: Offset 2.5V Amplitude=2.5V Frequency: 1kHz Tragen Sie die Messwerte für die Ausgangsspannung in Abhängigkeit von der Eingangsspannung ein. U in [V] U out [V ]

3 Bestimmen Sie die Wahrheitstabelle des Inverters: in out 1.2 Verzögerung eines Inverters Legen Sie nun eine Rechteckspannung an den Eingang und messen Sie das Ausgangssignal. AWG1: Rechteck 0..5V: Offset 2.5V Amplitude=2.5V Frequency: 1kHz Bestimmen Sie die Verzögerung des steigenden und fallenden Ausgangssignals. Wie ist die Verzögerungszeit definiert? t DELAYRise = t DELAYFall = 1.3 Aufbau einer logischen Funktion Bauen Sie folgende Schaltung auf. Pin Verbindung GND VP+ 5 GND AWG1 SCOPE 1 VP+ AWG2 SCOPE 3 1 SCOPE 2 VP+ Oszilloskopieren Sie die Eingänge und Ausgänge. Synchronisieren Sie AWG1 und AWG2 mit Mode: Auto sync. Bestimmen Sie die Wahrheitstabelle: In1 (AWG1) In2(AWG2) Out

4 Starting with Electronics Explorer Board 1. Hardware To set up the board. 1. First install Digilent WaveForms software on your PC. See Installer Details for more information. 2. Connect a 12V 60W power supply to the Electronics Explorer board. 3. Connect the board to your PC using a USB cable. 4. The Ready LED will light when the Output Enable switch is ON and the WaveForms application is connected to the board. All the components of the board work relative to the common ground. None of the instruments has a floating ground. Vertical adjacent holes in one column are connected: (A,B,C,D,E) (F,G,H,I,J). The pins next to horizontal lines are connected. All pins along the red line are connected. All pins along the blue line are connected. At the connectors for the instruments 2 vertical pins are connected. The small black dots or arrows represent a GND, 0V connection. All GND pins are connected.

5 2. WaveForms Software See Installer Details for information on installing the WaveForms software application. See WaveForms for information on using the application The WaveForms main window is shown below.

6 3. Oscilloscope The Oscilloscope has four channels with AC and DC input. Specifications: The input bandwidth is 100 MHz and the ADC frequency is 40 MSps. The DC inputs have an impedance of 9.3 MOhms in parallel with 10 pf. The AC inputs have 100 nf filter capacitor. The input voltage is from -20 V to 20 V. The ADC is 10 bits, 40M Sps, with adjustable resolution from 800 uv to 40 mv. The offset is adjustable from -20 V to 20 V. The maximum input voltage is 200 V. The buffer can be up to 16 ks on four channels.

7 4. Arbitrary Waveform Generator The Electronics Explorer board is equipped with two Waveform Generators. Specifications: The output current is 25 ma. The DAC is 14 bits and 40 MSps. The output 10Vp-p, ~0.6 mv resolution. The output bandwidth is 20 MHz. When a channel is closed, the output is not in high impedance but should be close to zero volts. Verify the voltage on the Waveform Generator channels before connecting it to circuit. The carrier buffer can be up to 32 ks or 16kS carrier and 16kS modulation buffer on two channels. The positive and negative power supplies can be used as slow voltage or current basic waveform generators.

8 5. Power Supplies and Voltmeters The board has four voltmeters, two reference voltages, one positive, one negative and one 3.3/5V supply. Specifications: The fixed power supply 3.3/5 V can provide up to 2 A. The positive power supply has a range of 0 to 9 V and 0 to 1.5 A current limit. The negative power supply has a range of 0 to -9 V and 0 to -1.5 A current limit. The reference voltage supplies can provide only limited current, up to 10 ma. The disabled reference voltage output is not in high impedance but should be close to zero volts.

9 6. Digital I/O The Electronic Explorer board has 32 digital I/O pins (DIO 0-31). Specifications: The digital pins are supplied at 3.3 V, They have 16 ma drive strength, They have 220 Ohm series resistor, They can output push-pull, open-source, open-drain and tri-state signals. The generator/analyzer frequency is 100 MHz. The analyzer can work on an external clock, up to 100 MHz. Static Input/Output (SIO) The SIO instrument allows easy configuration of input and output devices on the digital lines. It is called "static" because the value from the digital lines corresponds directly to the values generated or read by the input and output devices. Once a signal value is set, it remains in that state until a new value is set. See Digital instruments for information about the behavior of digital instruments. The SIO controls the 32 digital lines, which are managed in groups of eight: 31-24, 23-16, 15-8, and 7-0. For each group of lines, a device can be assigned. See Define Input Output Devices Types for specific device types. In the instrument form, devices are placed in a vertical stack. It is possible to Remove/Add groups of eight lines. See Remove/Add Lines Group. See SIO Configuration for information about instrument configurations.

10

11 Schaltplanerstellung für das Electronic Explorer Board Sie können auch außerhalb der Praktikumszeit in das Digitaltechniklabor kommen und selbständig das Experimentierboard benutzen. Die Software ist frei verfügbar unter: Skizzieren Sie Ihre Schaltung zur Vorbereitung des Versuchs. Die Buchsen entlang der blauen und roten Linien sind horizontal verbunden und sollen für den Masseanschluss und die Spannungsversorgung verwendet werden. Die integrierte Schaltung IC4007 wird zwischen die Reihen E und F gesetzt. Die Buchsen A,B,C,D,E und FGHIJ sind jeweils spaltenweise verbunden. Die restlichen Verbindungen stellen Sie mit Drähten her. Unten sieht man die Anschlüsse des 4 Kanal Oszilloskops (SCOPE, 1,2,3,4) der Funktionsgeneratoren (AWG1 und AWG2) und der Spannungsversorgung (VP+, VP-, VCC). Eine Webseite zur interaktiven Eingabe der Schaltung steht im L Laufwerk zur Verfügung. L-LEHRVERANSTALTUNGEN/Vollrath/Digitaltechnik1/ElectronicBreadboard/index.html Dokumentieren Sie Ihre Ergebnisse: Foto Ihres Aufbaus. Bilder Ihrer Messungen. Interpretation der Ergebnisse.

12 Versuch 02: Logikfunktionen mit 3 Eingängen mit NAND Gattern oder Multiplexern Sie lernen, wie man eine Logikfunktion mit Invertern und NAND Gattern oder mit Multiplexern aufbauen kann. Realisieren Sie einen der Ausgänge Out0..8 aus folgende Wahrheitstabelle: In2 In1 In0 Out0 Out1 Out2 Out3 Out4 Out5 Out6 Out7 Out Es steht Ihnen zum Aufbau und zur Messung das Electronic Explorer Board zur Verfügung. Im Programm Waveforms benutzen Sie den Digitalen Pattern Generator. Erzeugen Sie einen Bus mit DIO0..2 der die Signale IN0, IN1, IN2 erzeugt. Setzen den Type durch Doppelklick auf Binary counter. Den Output auf PP. Den Takt auf 1MHz und die Timebase auf 1us/div. Die Eingänge und der Ausgang werden mit dem Oszilloskop dargestellt. Schaltbilder der Digitalbausteine 74 HC xxx finden Sie im Anhang, Datenblätter auf dem L Laufwerk. 2.1 Aufbau der logischen Funktion mit NAND Gattern Realisieren Sie eine Spalte der Wahrheitstabelle als Schaltung. Geben Sie die Normalform mit Mintermen an. Out. = Für die Schaltung stehen Ihnen 3 input (74 HC 10) NAND Gatter und Inverter (74 HC 04) zur Verfügung. Zeichnen Sie einen Schaltplan. Bauen Sie die Schaltung auf. Verwenden Sie die Digital Pattern Funktion des Electronic Explorer Boards mit den Kanälen DIO0, DIO1, DIO2 zur Erzeugung der Eingangssignale. Messen Sie alle Signale mit dem Oszilloskop. Überprüfen Sie die Eingangssignale. Verwenden Sie die Spannungsversorgung VP+ und vergessen Sie nicht die Masse zu verbinden.

13 Dokumentieren Sie Ihre Ergebnisse. Welche maximale Verzögerungszeit hat ihre Schaltung? Wie messen Sie die Verzögerungszeit? Dual 3-Input NAND Gate 74 HC 10 8-Input NAND Gate 74 HC 30 Dual 4 Input Multiplexer 74 HC Inverter in one Package 74 HC input NAND 74 HC 00

14 2.2 Aufbau einer logischen Funktion mit einem Multiplexer Realisieren Sie eine Spalte der Wahrheitstabelle als Schaltung. Verwenden Sie dazu Inverter (74 HC 04) und einen doppelten 4 zu 1 Multiplexer (74 HC 153). Das enable Signal muss mit Masse verbunden sein. Zeichnen Sie einen Schaltplan. Bauen Sie die Schaltung auf. Verwenden Sie die Digital Pattern Funktion des Electronic Explorer Boards mit den Kanälen DIO0, DIO1, DIO2 zur Erzeugung der Eingangssignale. Messen Sie die Eingangssignale und das Ausgangssignal mit dem Oszilloskop. Verwenden Sie die Spannungsversorgung VP+ und vergessen Sie nicht die Masse zu verbinden. Dokumentieren Sie Ihre Ergebnisse. Welche maximale Verzögerungszeit hat ihre Schaltung? Wie messen Sie die Verzögerungszeit?

15 Versuch 03: Logikfunktionen mit einem FPGA Board Sie lernen, wie man Logikfunktionen mit einem Field Programmable Gate Array (FPGA) realisieren kann. Logikfunktionen werden in einem FPGA realisiert. 3.1 Verdrahtung herstellen Starten Sie Xilinx ISE Design Suite. Wenn ein Projekt offen ist, bitte mit File-> Close Project schließen. Legen Sie ein Neues Project an: New Project. Name: Digi2_Versuch1_01_<Gruppennummer> Im nächsten Schritt wählen Sie aus der Family All / Spartan3E das richtige Device (XC3S100E/XC3S250E) und das richtige Package (TQ144), das Sie von der Platine ablesen. Es gibt 2 Ansichten (View): Implementation und Simulation. Wählen Sie zu Anfang den Modus Implementation. Im ersten Teil des Versuches sollen die logischen Schaltungen aus der Vorlesung aufgebaut werden. y= (x 1 +x 2 )(x 2 +x 3 )+/x 4 X1, X2, X3, X4 sollen mit den Schaltern 0..3 verbunden werden. Die Funktion y soll an Led<5> ausgegeben werden. Sie erzeugen eine VHDL Beschreibung dieser logischen Funktion: Rechtsklick->New Source: VHDL Module, Name: Func1 Dann geben Sie x1, x2, x3, x4 als Typ: in ein und y als Typ: out. Damit wird ein Template für Func1 erzeugt. Nun geben sie im Abschnitt `architecture die logische Funktion ein. Klammern Sie die logischen Funktionen ein. Speichern Sie ab. Dabei wird der Syntax überprüft und Sie können noch Korrekturen einbringen. Laden Sie nun die vorbereitete Gesamtschaltung LOGIC1.VHDL als VHDL code, die Func1 benutzt. Reiter Design, Hierarchy, Rechtsklick: Add Copy of Source -> L:/vollrath/Digi2/Logic1.vhdl Setzen Sie nun diesen VHDL Code als top module: Rechtsklick auf den Eintrag: set as top module.

16 Im Abschnitt entity in der Datei Logic1.vhdl sehen Sie folgende Pins: led: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- leds sw: IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- switches Im Abschnitt architecture sehen Sie als erstes die Deklaration COMPONENT Func1, damit Sie diese Schaltungsbeschreibung nutzen können. Dann werden Leitungen (signal) definiert, um die LED und Schalter mit den Signalen von Func1 zu verbinden. Zwischen Begin und End werden alle Verbindungen hergestellt und eine Instanz FUN0 des Schaltungsblocks Func1 erzeugt. 3.2 Konfigurationsdatei hinzufügen Dem Projekt ordnen Sie nun eine Konfigurationsdatei zu, indem die Verbindungen der externen Pins zu den internen Signalen beschrieben werden. Rechtsklick, Add Copy of Source. Auswahl von MainBasys.ucf. In der Datei finden Sie zum Beispiel folgende Zeile: # Pin assignment for LEDs NET "Led<7>" LOC = "p2" ; # Bank = 3, Signal name = LD7 Hier wird ein VHDL signal led(7) mit dem Pin P2 des FPGAs verbunden. Nun generieren Sie die Konfigurationsdatei für das FPGA: View: Implementation Rechtsklick auf Generate Programming File: Process Properties, Startup Options, JTAG Clock Rechtsklick auf Generate Programming File: Run 3.3 Wahrheitstabelle erstellen Starten Sie nun die Software Adept vom Desktop und laden Sie das File in das FPGA (program). Verifizieren Sie nun durch Variation der Schalter die Logikfunktion. Sw(3) Sw(2) Sw(1) Sw(0) Led(0)

17 Versuch 04: Erweiterung der Logikfunktionen mit einem FPGA Board Es wird die Schaltung aus dem ersten Versuch verwendet und erweitert. 4.1 Simulation und Testvektoren Öffnen Sie das Projekt vom vorigen Versuch. Sie sollen das Verhalten der Schaltung simulieren. Dazu wird eine VHDL Test Bench erstellt. Wechseln Sie in die View Simulation. Rechtsklick, New Source: VHDL Test Bench Alle CLOCK Signale werden gelöscht. Die folgende Liste wird durch alle möglichen Eingangssignale ergänzt: tb: process begin sw(0)<= '0'; sw(1) <= '0'; sw(2)<='0'; sw(3)<='0'; wait for 100 ns; Hier müssen Sie weitere Testvektoren eingeben. end PROCESS tb; Starten Sie nun Simulate Behavioral Model. Sie sollten nun ein ähnliches Bild wie unten sehen. Dokumentieren Sie Ihr Ergebnis. Stimmt die Simulation mit Ihren Erwartungen überein?

18 4.2 Erweiterung der Schaltung mit einem Schaltplan Es soll folgendes Schaltbild eingegeben werden: Rechtsklick->New Source: Schematic, Name: Func2 Add Symbol, Category: logic, and2 Add wire Add I/O Marker: x1, x2,x3,x4,y,y1,,y1, y2 Diese Schaltung soll nun auch in LOGIC1.VHDL verwendet werden. X1, X2, X3, X4 sollen mit den Schaltern 0..3 verbunden werden. Die Funktionen y1, y2 und y sollen an Led(4), Led(3),Led(2) ausgegeben werden. Erweitern Sie den Code von LOGIC1.VHDL um die FUNC2 zu benutzen. Dokumentieren Sie den VHDL code. 4.3 Schaltungssimulation und Wahrheitstabelle Simulieren Sie die Schaltung noch einmal und dokumentieren Sie die Signale. Programmieren Sie das FPGA wie in Teil 1.2 beschrieben. Verifizieren Sie nun durch Variation der Schalter die erweiterte Logikfunktion. Sw(3) Sw(2) Sw(1) Sw(0) Led(0) Led(2) Led(3) Led(4) SS2012 Prof. Jörg Vollrath

19 Digitaltechnik SS2013 Versuch 05: Eine Finite state machine im FPGA Sie lernen, wie man einen Automaten mit einem Field Programmable rogrammable Gate Array rray (FPGA) realisieren kann. Mit Hilfe von 2 Knöpfen soll eine Zahl im 4 stelligen 7 Segment Display nach rechts und links geschoben werden. Mit 2 anderen Knöpfen soll die angezeigte angezeigte Zahl erhöht oder erniedrigt werden Das Board und die Knöpfe BTN<0> (Pin 69):: Zahl nach rechts BTN<1> (Pin 48): Zahl erniedrigen BTN<2> (Pin 47): Zahl erhöhen BTN<3> (Pin 41): ): Zahl nach links schieben Zur Ansteuerung der 7 Segment Anzeige A steht Ihnen das Modul SegCntrl.vhdl.vhdl zur Verfügung. Der Bus an wird mit den Pins 34, 33, 32, 26 verbunden. Das Signal dp wird mit pin 22 verbunden. verbunden Die Segmentansteuerung wird über den Bus Seg (6 downto 0), der die Leitungen CA..CG verbindet, realisiert. Weitere Informationen finden Sie im Dokument Basys_m.pdf. Lesen Sie die Erklärung. 5.2 Projekterstellung Erstellen Sie ein Neues Projekt mit dem Namen Zahlenspiel. Erzeugen Sie eine VHDL Datei mit Namen Zahlenspiel und geben Sie dort die Ein- und Ausgänge Ausgän für die Clock CLK, die Knöpfe btn(3 downto 0) und das 7 Segment Display seg(6 SS2012 Prof. Jörg Vollrath

20 downto 0), dp und an(3 downto 0) ein. Fügen Sie die Kopie des 7_Segment Blocks SegCntrl.vhd, und HEX2LEDA.vhdl zum Projekt dazu. Fügen Sie das MainBasys_Versuch2.ucf File hinzu. Überprüfen Sie die Einträge für die Verbindung der Knöpfe und 7-Segment Anzeige mit den Signalnamen in dieser Datei. Dazu wählen Sie den nach Anwahl der Datei den Menüpunkt: Process-> user constraints-> edit constraints (text). Sie benötigen einen herunter geteilten Takt, der ein Enable Signal EN erzeugt, damit Sie die Knöpfe nur selten abfragen und so die Funktionalität realisieren können: CLKDIV.vhd. Fügen Sie diese Datei zu Ihrem Projekt hinzu. 5.3 Verbindung der Schaltungsblöcke Instanziieren Sie diese Blöcke in der Architecture von Zahlenspiel und fügen Sie Signale ein. signal EN:STD_LOGIC; signal Digit0, Digit1, Digit2, Digit3:STD_LOGIC_VECTOR(3 DOWNTO 0); clkdiv0: CLK_DIV port map (CLK => CLK, RESET =>'0', EN=> EN); segcntrl0: SegCntrl port map(clk1 => CLK, Digit0 => Digit0, Digit1 => Digit1, Digit2 => Digit2, Digit3 => Digit3, reset => '0', seg => seg, an =>an, dp =>dp); 5.4 Zustandsmaschine Statex.vhdl Erstellen Sie eine synchrone Zustandsmaschine (Block Statex), die es erlaubt mit Hilfe von 2 Knöpfen eine Zahl im 4 stelligen 7 Segment Display nach rechts und links zu schieben. Mit 2 anderen Knöpfen soll die angezeigte Zahl im Bereich von 1..9 erhöht oder erniedrigt werden. Sie benötigen Register für die Position der Zahl (POS (1 downto 0) ) und für den Zahlenwert (ZINT (3 downto 0) ). signal POS: STD_LOGIC_VECTOR(1 downto 0); signal ZINT: STD_LOGIC_VECTOR(3 downto 0); Als Beispiel für den Zustandsautomaten kann Ihnen DrehDecoder.vhd dienen. Dazu fügen Sie diese Datei Ihrem Projekt hinzu und kopieren Sie entsprechende Abschnitte in Zahlenspiel.vhd. Da Sie keinen Reset Knopf haben erzeugen Sie eine Leitung RESET und setzen Sie auf Null.

21 signal RESET: STD_LOGIC; Reset <= '0'; Die case Anweisung kann benutzt werden um einem Digit0..3 den Zählerstand ZINT zu zuweisen. Die Knöpfe btn(x) kann man mit IF Abfragen auswerten und die Position POS und den Zähler ZINT entsprechend setzen. Für die Addition und Subtraktion ZINT <= unsigned(zint)-1; benötigen Sie noch das Paket: use IEEE.STD_LOGIC_ARITH.ALL; Fügen Sie diese Zeile am Anfang Ihrer Datei ein. Wie viele Zustände gibt es? Erstellen Sie eine Zustandstabelle. Erstellen Sie einen Zustandsgraphen. Erstellen Sie einen VHDL Code für eine Zustandsmaschine, ausgehend von der Datei DrehDecoder.vhd. 5.5 Inbetriebnahme und Test Laden Sie Ihren Code in das FPGA Board und testen Sie Ihre Schaltung. Erstellen Sie einen Bericht und stellen Sie Ihre Erfahrungen und Schwierigkeiten dar.

22 Digitaltechnik SS2013 Das Program Xilinx ISE Design Suite Nach dem Start des Programms sehen Sie folgendes Fenster. Je nach der Version kann die Ansicht etwas variieren, aber Sie finden immer die folgenden Bereiche. 1) Zeigt die Hierarchie des Projekts. Dort erscheinen alle VHDL Dateien, Schaltpläne Schal und Konfigurationsdateien hierarchisch geordnet. geordnet Dort können Sie neue VHDL Dateien mit einem rechten Mausklick anlegen.. 2)Prozesse: Dort wird die Verarbeitung gestartet (Doppelklick auf den Eintrag): Syntaxprüfung, Simulation und Implementierung. 3)) In diesem Bereich wird der VHDL Code oder ein Schaltplan erstellt und angezeigt. 4) Hier werden Aktivitäten und Fehlermeldungen angezeigt. Jeder Bereich hat Reiter oder Knöpfe mit denen man die Ansicht variieren kann. A,B,C,D. Nehmen Sie sich Zeit überalll einmal darauf zu klicken und die Funktionen kennen zu lernen. Der Computer explodiert nicht! Erstellen eines digitalen Designs Als erstes wird ein Projekt erstellt und diesem Projekt werden alle VHDL, Schematic chematic Dateien und eine ucf Datei zugeordnet. VHDL und Schematic Dateien enthalten die Schaltkreisbeschreibung. Eine ucf Datei enthält die Zuordnung von Signalnamen zu physikalischen Pins des FPGAs. Dann wird festgelegt welche Datei die Gesamtfunktion des Schaltkreises beschreibt (set as root). SS2012 Prof. Jörg Vollrath

23 Danach wird entweder der Arbeitsschritt Simulation oder Implementierung durchgeführt. Bei der Simulation untersucht man das zeitliche Schaltverhalten bei verschiedenen vorgegebenen Eingangssignalen. Es gibt spezielle VHDL Dateien die das zeitliche Verhalten der Eingangssignale beschreibt. Ein Gerüst für diese Datei kann automatisch erzeugt werden (VHDL Test Bench). Durch die Aktion Simulate Behavioral Model, wird der Syntax aller Dateien des Projects und die korrekte Zuordnung von Verbindungen geprüft. Dann wird ein Simulator gestartet, der das Zeitverhalten der benutzten Signale darstellt. Normalerweise überprüft man hier die Wahrheitstabelle einer digitalen Schaltung, wobei man alle möglichen Eingangskombinationen anlegt. Wenn die Simulation erfolgreich ist, führt man den Schritt Implementierung durch. Er besteht aus den Unterschritten Synthesis, Implement Design, Generate Programming File. Bei der Synthesis werden wieder alle Dateien auf korrekten Syntax überprüft und auf VHDL Konstrukte abgebildet. Beim Schritt Implement Design wird dann versucht diese VHDL Konstrukte auf einfache Logikblöcke abzubilden und im FPGA zu platzieren und zu verdrahten (Map, Place and Route). Als letztes wird dann die gefundene Lösung in einen Bitstream umgewandelt, der in das FPGA zur Konfigurierung geladen wird.

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Softwareupdate-Anleitung // AC Porty L Netzteileinschub

Softwareupdate-Anleitung // AC Porty L Netzteileinschub 1 Softwareupdate-Anleitung // AC Porty L Netzteileinschub Softwareupdate-Anleitung // AC Porty L Netzteileinschub HENSEL-VISIT GmbH & Co. KG Robert-Bunsen-Str. 3 D-97076 Würzburg-Lengfeld GERMANY Tel./Phone:

Mehr

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden.

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden. Track in Route umwandeln ACHTUNG: Ein Track kann nur dann in eine Route umgewandelt werden, wenn der Track auf Wegen gefahren wurde. Ein Querfeldein-Track kann nicht in eine Route umgewandelt werden, da

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken.

Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Seite erstellen Mit der Maus im Menü links auf den Menüpunkt 'Seiten' gehen und auf 'Erstellen klicken. Es öffnet sich die Eingabe Seite um eine neue Seite zu erstellen. Seiten Titel festlegen Den neuen

Mehr

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein.

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein. Schritt 1: Installation des Javacompilers JDK. Der erste Start mit Eclipse Bevor Sie den Java-Compiler installieren sollten Sie sich vergewissern, ob er eventuell schon installiert ist. Gehen sie wie folgt

Mehr

SICHERN DER FAVORITEN

SICHERN DER FAVORITEN Seite 1 von 7 SICHERN DER FAVORITEN Eine Anleitung zum Sichern der eigenen Favoriten zur Verfügung gestellt durch: ZID Dezentrale Systeme März 2010 Seite 2 von 7 Für die Datensicherheit ist bekanntlich

Mehr

Überprüfung der digital signierten E-Rechnung

Überprüfung der digital signierten E-Rechnung Überprüfung der digital signierten E-Rechnung Aufgrund des BMF-Erlasses vom Juli 2005 (BMF-010219/0183-IV/9/2005) gelten ab 01.01.2006 nur noch jene elektronischen Rechnungen als vorsteuerabzugspflichtig,

Mehr

Novell Client. Anleitung. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Februar 2015. ZID Dezentrale Systeme

Novell Client. Anleitung. zur Verfügung gestellt durch: ZID Dezentrale Systeme. Februar 2015. ZID Dezentrale Systeme Novell Client Anleitung zur Verfügung gestellt durch: ZID Dezentrale Systeme Februar 2015 Seite 2 von 8 Mit der Einführung von Windows 7 hat sich die Novell-Anmeldung sehr stark verändert. Der Novell Client

Mehr

Anleitung zum Erstellen einer Library (Altium)

Anleitung zum Erstellen einer Library (Altium) Anleitung zum Erstellen einer Library (Altium) 1, Neue Library erstellen: File -> New -> Library -> Schematic Library Danach öffnet sich eine Zeichenfläche und am Rand eine Library Leiste. 1,1 Umbenennen

Mehr

Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen

Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen Inhalt 1. Die Funambol Software... 3 2. Download und Installation... 3 3.

Mehr

2. ERSTELLEN VON APPS MIT DEM ADT PLUGIN VON ECLIPSE

2. ERSTELLEN VON APPS MIT DEM ADT PLUGIN VON ECLIPSE 2. ERSTELLEN VON APPS MIT DEM ADT PLUGIN VON ECLIPSE 2.1 Die Einrichtung der Benutzeroberfläche Das Einrichten einer Android-Eclipse-Entwicklungsumgebung zur Android-Entwicklung ist grundsätzlich nicht

Mehr

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep 1. Erstellen Sie ein neues Rechnungsformular Mit book n keep können Sie nun Ihre eigenen

Mehr

Bedienungsanleitung Version 1.0

Bedienungsanleitung Version 1.0 Botex DMX Operator (DC-1216) Bedienungsanleitung Version 1.0 - Inhalt - 1 KENNZEICHEN UND MERKMALE...4 2 TECHNISCHE ANGABEN...4 3 BEDIENUNG...4 3.1 ALLGEMEINES:...4 3.2 BEDIENUNG UND FUNKTIONEN...5 4 SZENEN

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen QuickStart Guide to read a transponder with a scemtec TT reader and software UniDemo Voraussetzung: - PC mit der

Mehr

Anleitung zur Erstellung einer Batchdatei. - für das automatisierte Verbinden mit Netzlaufwerken beim Systemstart -

Anleitung zur Erstellung einer Batchdatei. - für das automatisierte Verbinden mit Netzlaufwerken beim Systemstart - Anleitung zur Erstellung einer Batchdatei - für das automatisierte Verbinden mit Netzlaufwerken beim Systemstart - Mögliche Anwendungen für Batchdateien: - Mit jedem Systemstart vordefinierte Netzlaufwerke

Mehr

Um eine Person in Magnolia zu erfassen, gehen Sie wie folgt vor:

Um eine Person in Magnolia zu erfassen, gehen Sie wie folgt vor: Personendaten verwalten mit Magnolia Sie können ganz einfach und schnell alle Personendaten, die Sie auf Ihrer Webseite publizieren möchten, mit Magnolia verwalten. In der Applikation Adressbuch können

Mehr

LTSpice Tutorial 2: Eigene Modelle und Symbole

LTSpice Tutorial 2: Eigene Modelle und Symbole LTSpice Tutorial 2: Eigene Modelle und Symbole Vorhandenes Symbol, eigenes Modell, Modell in Einzeldatei Der Baustein «LM336» ist eine 2.5 V Zener-Spannungsreferenzdiode mit einem Justiereingang: Die Zenerdiode

Mehr

Nutzung der VDI Umgebung

Nutzung der VDI Umgebung Nutzung der VDI Umgebung Inhalt 1 Inhalt des Dokuments... 2 2 Verbinden mit der VDI Umgebung... 2 3 Windows 7... 2 3.1 Info für erfahrene Benutzer... 2 3.2 Erklärungen... 2 3.2.1 Browser... 2 3.2.2 Vertrauenswürdige

Mehr

Anleitung für den Zugriff auf Mitgliederdateien der AG-KiM

Anleitung für den Zugriff auf Mitgliederdateien der AG-KiM Anleitung für den Zugriff auf Mitgliederdateien der AG-KiM Hinweise: - Dies ist eine schrittweise Anleitung um auf den Server der Ag-Kim zuzugreifen. Hierbei können Dateien ähnlich wie bei Dropbox hoch-

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Urlaubsregel in David

Urlaubsregel in David Urlaubsregel in David Inhaltsverzeichnis KlickDown Beitrag von Tobit...3 Präambel...3 Benachrichtigung externer Absender...3 Erstellen oder Anpassen des Anworttextes...3 Erstellen oder Anpassen der Auto-Reply-Regel...5

Mehr

Meldung Lokale Anwendung inkompatibel oder Microsoft Silverlight ist nicht aktuell bei Anmeldung an lokal gespeicherter RWE SmartHome Anwendung

Meldung Lokale Anwendung inkompatibel oder Microsoft Silverlight ist nicht aktuell bei Anmeldung an lokal gespeicherter RWE SmartHome Anwendung Meldung Lokale Anwendung inkompatibel oder Microsoft Silverlight ist nicht aktuell bei Anmeldung an lokal gespeicherter RWE SmartHome Anwendung Nach dem Update auf die Version 1.70 bekommen Sie eine Fehlermeldung,

Mehr

Wie verbindet man Nokia 6600 mit Oxygen Phone Manager II for Symbian OS phones ( http://www.opm-2.com/symbian/ )

Wie verbindet man Nokia 6600 mit Oxygen Phone Manager II for Symbian OS phones ( http://www.opm-2.com/symbian/ ) Wie verbindet man Nokia 6600 mit Oxygen Phone Manager II for Symbian OS phones ( http://www.opm-2.com/symbian/ ) Falls Sie Ihr Telefon mit dem Rechner paarsweise schon verbunden haben, bitte sehen Sie

Mehr

Windows / Mac User können sich unter folgenden Links die neueste Version des Citrix Receiver downloaden.

Windows / Mac User können sich unter folgenden Links die neueste Version des Citrix Receiver downloaden. Zugriff auf Citrix 1 EINRICHTUNG WICHTIG: 1. Sollten Sie als Betriebssystem bereits Windows 8 nutzen, müssen Sie.Net Framework 3.5 installiert haben. 2. Ihre Einstellungen in den Programmen werden jedes

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Laden der beiden virtuellen Orgeln (36 bzw. 100 Register) unter Hauptwerk

Laden der beiden virtuellen Orgeln (36 bzw. 100 Register) unter Hauptwerk Oberwerk 2 das Wichtigste in deutsch Der Datenträger enthält sowohl das zu installierende Programm Oberwerk 2 als auch zwei fertige Sample-Sets (36 Register und 100 Register) für Hauptwerk. Installation

Mehr

Herzlich Willkommen bei der BITel!

Herzlich Willkommen bei der BITel! Herzlich Willkommen bei der BITel! Damit Sie auch unterwegs mit dem iphone Ihre E-Mails abrufen können, zeigen wir Ihnen Schritt für Schritt wie Sie Ihr BITel-Postfach im iphone einrichten. Los geht's:

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt

Er musste so eingerichtet werden, dass das D-Laufwerk auf das E-Laufwerk gespiegelt Inhaltsverzeichnis Aufgabe... 1 Allgemein... 1 Active Directory... 1 Konfiguration... 2 Benutzer erstellen... 3 Eigenes Verzeichnis erstellen... 3 Benutzerkonto erstellen... 3 Profil einrichten... 5 Berechtigungen

Mehr

iphone-kontakte zu Exchange übertragen

iphone-kontakte zu Exchange übertragen iphone-kontakte zu Exchange übertragen Übertragen von iphone-kontakten in ein Exchange Postfach Zunächst muss das iphone an den Rechner, an dem es üblicherweise synchronisiert wird, angeschlossen werden.

Mehr

Version 0.3. Installation von MinGW und Eclipse CDT

Version 0.3. Installation von MinGW und Eclipse CDT Version 0.3 Installation von MinGW und Eclipse CDT 1. Stellen Sie fest, ob Sie Windows in der 32 Bit Version oder in der 64 Bit Version installiert haben. 2. Prüfen Sie, welche Java Runtime vorhanden ist.

Mehr

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress.

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Anmeldung http://www.ihredomain.de/wp-admin Dashboard Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Das Dashboard gibt Ihnen eine kurze Übersicht, z.b. Anzahl der Beiträge,

Mehr

CALCOO Lite. Inhalt. 1. Projekt anlegen / öffnen. 2. Projekt von CALCOO App importieren

CALCOO Lite. Inhalt. 1. Projekt anlegen / öffnen. 2. Projekt von CALCOO App importieren CALCOO Lite Hier finden Sie eine Kurzanleitung zu den einzelnen Projektschritten von CALCOO Light. Nach dem Lesen wissen Sie die grundlegenden Funktionen zu bedienen und können ein Projekt erstellen. Inhalt

Mehr

E-Mail Verschlüsselung

E-Mail Verschlüsselung E-Mail Verschlüsselung Beschreibung der im Kispi eingesetzten Methode "PGP Universal Web Messenger" Dokumentenversion 1.0 19. Oktober 2006 Autor: Informatik Inhaltsverzeichnis 1. PGP Universal Web Messenger...

Mehr

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen.

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Die auf dem PC geschriebene Texte oder Programme können über dem ClassPad Manager zu ClassPad 300 übertragen werden. Dabei kann

Mehr

How to install freesshd

How to install freesshd Enthaltene Funktionen - Installation - Benutzer anlegen - Verbindung testen How to install freesshd 1. Installation von freesshd - Falls noch nicht vorhanden, können Sie das Freeware Programm unter folgendem

Mehr

Installation / Aktualisierung von Druckertreibern unter Windows 7

Installation / Aktualisierung von Druckertreibern unter Windows 7 Rechenzentrum Installation / Aktualisierung von Druckertreibern unter Windows 7 Es gibt drei verschiedene Wege, um HP-Druckertreiber unter Windows7 zu installieren: (Seite) 1. Automatische Installation...

Mehr

A.u.S. Spielgeräte GmbH A-1210 Wien Scheydgasse 48 Tel.+43-(0)1-271 66 00 Fax. +43-(0)1-271 66 00 75

A.u.S. Spielgeräte GmbH A-1210 Wien Scheydgasse 48 Tel.+43-(0)1-271 66 00 Fax. +43-(0)1-271 66 00 75 Inhaltsverzeichnis Seite 1. Einleitung. 2 2. Vorraussetzung.. 2 2.1 Software 2 2.2 Hardware.. 2 3. Vorbereitung... 3 4. Programmierung 4 5. Ändern des Schlüssels... 6 6. Test 6 7. Informationen.. 7 1.Einleitung

Mehr

TeamSpeak3 Einrichten

TeamSpeak3 Einrichten TeamSpeak3 Einrichten Version 1.0.3 24. April 2012 StreamPlus UG Es ist untersagt dieses Dokument ohne eine schriftliche Genehmigung der StreamPlus UG vollständig oder auszugsweise zu reproduzieren, vervielfältigen

Mehr

MetaQuotes Empfehlungen zum Gebrauch von

MetaQuotes Empfehlungen zum Gebrauch von MetaQuotes Empfehlungen zum Gebrauch von MetaTrader 4 auf Mac OS Auch wenn viele kommerzielle Angebote im Internet existieren, so hat sich MetaQuotes, der Entwickler von MetaTrader 4, dazu entschieden

Mehr

Backup Premium Kurzleitfaden

Backup Premium Kurzleitfaden Info Memeo Backup Premium bietet viele fortschrittliche automatische Backup-Funktionen und ist großartig für Benutzer von Digitalkameras und für Anwender, die bis zu 50.000 Dateien mit Backups sichern

Mehr

Anleitung zur Webservice Entwicklung unter Eclipse

Anleitung zur Webservice Entwicklung unter Eclipse Entwicklungsumgebung installieren Sofern Sie nicht an einem Praktikumsrechner arbeiten, müssen Sie ihre Eclipse-Umgebung Webservice-fähig machen. Dazu benötigen Sie die Entwicklungsumgebung Eclipse for

Mehr

Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann.

Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann. Wie man Registrationen und Styles von Style/Registration Floppy Disketten auf die TYROS-Festplatte kopieren kann. Einleitung Es kommt vor, dass im Handel Disketten angeboten werden, die Styles und Registrationen

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers

Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers Der neue Sony PRS-T1 ebook-reader ist nicht mehr mit dem Programm Adobe Digital Editions zu bedienen. Es sind daher einige Schritte

Mehr

Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers

Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers Anleitung zur Installation und Nutzung des Sony PRS-T1 ebook Readers Der neue Sony PRS-T1 ebook-reader ist nicht mehr mit dem Programm Adobe Digital Editions zu bedienen. Es sind daher einige Schritte

Mehr

Scharl 2010 Dokument ist Urheberrechtlich geschützt. Port Forwarding via PuTTY und SSH. Was ist Port forwarding?

Scharl 2010 Dokument ist Urheberrechtlich geschützt. Port Forwarding via PuTTY und SSH. Was ist Port forwarding? Port Forwarding via PuTTY und SSH Was ist Port forwarding? Eine Portweiterleitung (englisch Port Forwarding) ist die Weiterleitung einer Verbindung, die über ein Rechnernetz auf einen bestimmten Port eingeht,

Mehr

Wireless LAN PCMCIA Adapter Installationsanleitung

Wireless LAN PCMCIA Adapter Installationsanleitung Wireless LAN PCMCIA Adapter Installationsanleitung Diese Anleitung hilft Ihnen bei der Installation des Funknetzwerkadapters für Ihre PCMCIA Schnittstelle und erläutert in wenigen Schritten, wie Sie den

Mehr

AdmiCash-Wiederherstellung auf einem neuen PC oder Betriebssystem

AdmiCash-Wiederherstellung auf einem neuen PC oder Betriebssystem AdmiCash-Wiederherstellung auf einem neuen PC oder Betriebssystem Sobald Sie vor dem Wechsel Ihres Betriebssystems oder Computers stehen, stellt sich die Frage, wie Ihre AdmiCash - Installation mit allen

Mehr

System-Update Addendum

System-Update Addendum System-Update Addendum System-Update ist ein Druckserverdienst, der die Systemsoftware auf dem Druckserver mit den neuesten Sicherheitsupdates von Microsoft aktuell hält. Er wird auf dem Druckserver im

Mehr

Konvertieren von Settingsdateien

Konvertieren von Settingsdateien Konvertieren von Settingsdateien Mit SetEdit können sie jedes der von diesem Programm unterstützten Settingsformate in jedes andere unterstützte Format konvertieren, sofern Sie das passende Modul (in Form

Mehr

Fingerpulsoximeter. A. Wie führt man einen Echtzeitdatentransfer vom PULOX PO-300 zum Computer durch und speichert diese Messdaten auf dem PC?

Fingerpulsoximeter. A. Wie führt man einen Echtzeitdatentransfer vom PULOX PO-300 zum Computer durch und speichert diese Messdaten auf dem PC? Mini-FAQ v1.5 PO-300 Fingerpulsoximeter A. Wie führt man einen Echtzeitdatentransfer vom PULOX PO-300 zum Computer durch und speichert diese Messdaten auf dem PC? B. Wie nimmt man mit dem PULOX PO-300

Mehr

Arbeiten mit dem Outlook Add-In

Arbeiten mit dem Outlook Add-In Arbeiten mit dem Outlook Add-In Das Outlook Add-In ermöglicht Ihnen das Speichern von Emails im Aktenlebenslauf einer Akte. Außerdem können Sie Namen direkt aus BS in Ihre Outlook-Kontakte übernehmen sowie

Mehr

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler Downloadfehler in DEHSt-VPSMail Workaround zum Umgang mit einem Downloadfehler Downloadfehler bremen online services GmbH & Co. KG Seite 2 Inhaltsverzeichnis Vorwort...3 1 Fehlermeldung...4 2 Fehlerbeseitigung...5

Mehr

Menü auf zwei Module verteilt (Joomla 3.4.0)

Menü auf zwei Module verteilt (Joomla 3.4.0) Menü auf zwei Module verteilt (Joomla 3.4.0) Oft wird bei Joomla das Menü in einem Modul dargestellt, wenn Sie aber z.b. ein horizontales Hauptmenü mit einem vertikalen Untermenü machen möchten, dann finden

Mehr

Programm GArtenlisten. Computerhinweise

Programm GArtenlisten. Computerhinweise Programm GArtenlisten Computerhinweise Liebe/r Vogelbeobachter/in, anbei haben wir Ihnen ein paar wichtige Tipps für ein reibungsloses Funktionieren der mitgelieferten Ergebnisdatei auf Ihrem Computer

Mehr

Einrichtung des WS_FTP95 LE

Einrichtung des WS_FTP95 LE Einrichtung des WS_FTP95 LE Das Herunterladen des Programms (siehe Seite Hochladen) dauert durch die Größe von 656 KB auch mit dem Modem nicht lange. Im Ordner der herunter geladenen Dateien erscheint

Mehr

Live Update (Auto Update)

Live Update (Auto Update) Live Update (Auto Update) Mit der Version 44.20.00 wurde moveit@iss+ um die Funktion des Live Updates (in anderen Programmen auch als Auto Update bekannt) für Programm Updates erweitert. Damit Sie auch

Mehr

! Tipps und Tricks Sie können den Windows Explorer am einfachsten mit der Tastenkombination Windows+ E öffnen.

! Tipps und Tricks Sie können den Windows Explorer am einfachsten mit der Tastenkombination Windows+ E öffnen. Bereiche im Explorer-Fenster In dieser Lektion lernen Sie den Aufbau des Windows Explorers kennen. Der Windows Explorer ist auch in Windows 7 weiterhin der zentrale Punkt, wenn es um die Verwaltung von

Mehr

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000 Folgende Anleitung beschreibt, wie Sie ein bestehendes Postfach in Outlook Express, bzw. Microsoft Outlook bis Version 2000 einrichten können. 1. Öffnen Sie im Menü die Punkte Extras und anschließend Konten

Mehr

disk2vhd Wie sichere ich meine Daten von Windows XP? Vorwort 1 Sichern der Festplatte 2

disk2vhd Wie sichere ich meine Daten von Windows XP? Vorwort 1 Sichern der Festplatte 2 disk2vhd Wie sichere ich meine Daten von Windows XP? Inhalt Thema Seite Vorwort 1 Sichern der Festplatte 2 Einbinden der Sicherung als Laufwerk für Windows Vista & Windows 7 3 Einbinden der Sicherung als

Mehr

Hex Datei mit Atmel Studio 6 erstellen

Hex Datei mit Atmel Studio 6 erstellen Hex Datei mit Atmel Studio 6 erstellen Es werden generell keine Atmel Studio Dateien ins Repository geladen, da jeder seine Dateien an anderen Orten liegen hat und weil nicht jeder das Atmel Studio 6 benutzt.

Mehr

Anleitung. Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7. Palm SPT 1500 / 1550 Palm SPT 1700 / 1800. Bits & Bytes Seite 1

Anleitung. Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7. Palm SPT 1500 / 1550 Palm SPT 1700 / 1800. Bits & Bytes Seite 1 Anleitung Einrichtung vom HotSync Manager für den Palm 1550 bis 1800 unter Windows 7 Palm SPT 1500 / 1550 Palm SPT 1700 / 1800 Bits & Bytes Seite 1 1. Palm einrichten Für die nächsten Schritte nehmen Sie

Mehr

Einrichten eines HBCI- Zugangs mit Bank X 5.1

Einrichten eines HBCI- Zugangs mit Bank X 5.1 Einrichten eines HBCI- Zugangs mit Bank X 5.1 am Beispiel der Comdirect-Bank Rufen Sie in Bank X als erstes den Menüpunkt Ablage/Neue Kontenmappe auf. Sollten Sie bereits eine Kontenmappe in Bank X verwenden

Mehr

2. Einrichtung der ODBC-Schnittstelle aus orgamax (für 32-bit-Anwendungen)

2. Einrichtung der ODBC-Schnittstelle aus orgamax (für 32-bit-Anwendungen) 1. Einführung: Über den ODBC-Zugriff können Sie bestimmte Daten aus Ihren orgamax-mandanten in anderen Anwendungen (beispielsweise Microsoft Excel oder Microsoft Access) einlesen. Dies bietet sich beispielsweise

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool

Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool Konfigurationslanleitung für J2EE und Eclipse im KBS-Pool JBoss vorbereiten Wir haben ein zip-archiv mit JBoss 4.0.5 in /opt/jboss-4.0.5.zip hinterlegt. Entpacken Sie dieses in ihrem Homeverzeichnis an

Mehr

Bauteilattribute als Sachdaten anzeigen

Bauteilattribute als Sachdaten anzeigen Mit den speedikon Attributfiltern können Sie die speedikon Attribute eines Bauteils als MicroStation Sachdaten an die Elemente anhängen Inhalte Was ist ein speedikon Attribut?... 3 Eigene Attribute vergeben...

Mehr

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG

Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Einstellungen im Internet-Explorer (IE) (Stand 11/2013) für die Arbeit mit IOS2000 und DIALOG Um mit IOS2000/DIALOG arbeiten zu können, benötigen Sie einen Webbrowser. Zurzeit unterstützen wir ausschließlich

Mehr

M-net E-Mail-Adressen einrichten - Apple iphone

M-net E-Mail-Adressen einrichten - Apple iphone M-net E-Mail-Adressen einrichten - Apple iphone M-net Telekommunikations GmbH Emmy-Noether-Str. 2 80992 München Kostenlose Infoline: 0800 / 7 08 08 10 M-net E-Mail-Adresse einrichten - iphone 05.03.2013

Mehr

Diese sind auf unserer Internetseite http://www.biooffice-kassensysteme.de, im Downloadbereich für den Palm zu finden:

Diese sind auf unserer Internetseite http://www.biooffice-kassensysteme.de, im Downloadbereich für den Palm zu finden: Installation: HotSync Manager für Palm SPT 1500,1550,1800 Diese Anleitung beschreibt den Installationsvorgang für den HotSync Manager 4.1 für das Betriebssystem Windows 7 32Bit/64Bit. SPT- 1500,1550 1.

Mehr

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten 2008 netcadservice GmbH netcadservice GmbH Augustinerstraße 3 D-83395 Freilassing Dieses Programm ist urheberrechtlich geschützt. Eine Weitergabe

Mehr

10.3.1.9 Übung - Konfigurieren einer Windows Vista-Firewall

10.3.1.9 Übung - Konfigurieren einer Windows Vista-Firewall 5.0 10.3.1.9 Übung - Konfigurieren einer Windows Vista-Firewall Drucken Sie diese Übung aus und führen Sie sie durch. In dieser Übung werden Sie erfahren, wie man die Windows Vista-Firewall konfiguriert

Mehr

Installationsanleitung dateiagent Pro

Installationsanleitung dateiagent Pro Installationsanleitung dateiagent Pro Sehr geehrter Kunde, mit dieser Anleitung möchten wir Ihnen die Installation des dateiagent Pro so einfach wie möglich gestalten. Es ist jedoch eine Softwareinstallation

Mehr

Über die Internetseite www.cadwork.de Hier werden unter Download/aktuelle Versionen die verschiedenen Module als zip-dateien bereitgestellt.

Über die Internetseite www.cadwork.de Hier werden unter Download/aktuelle Versionen die verschiedenen Module als zip-dateien bereitgestellt. Internet, Codes und Update ab Version 13 Um Ihnen einen möglichst schnellen Zugang zu den aktuellsten Programmversionen zu ermöglichen liegen Update-Dateien für Sie im Internet bereit. Es gibt drei Möglichkeiten

Mehr

-Virtuelle Jagdfliegerschule- Teamspeak Seite 1 von 6

-Virtuelle Jagdfliegerschule- Teamspeak Seite 1 von 6 Seite 1 von 6 Einstellen von Teamspeak 2.0 RC2 ( Nach der Installation wollen wir nun damit beginnen, Teamspeak 2.0 RC2 optimal auf ihr System abzustimmen. Sie sollten ein Fenster wie hier sehen. Falls

Mehr

Einrichten eines IMAP Kontos unter Outlook Express

Einrichten eines IMAP Kontos unter Outlook Express Einrichten eines IMAP Kontos unter Outlook Express Klicken Sie auf Start->Programme->Outlook Express Wählen Sie oben in der Leiste den Menüpunkt Extras Klicken Sie dann bitte auf Konten. Nun erscheint

Mehr

Übung 1. Explorer. Paint. Paint. Explorer

Übung 1. Explorer. Paint. Paint. Explorer Seite 1 Übung 1 1. Öffnen Sie das Programm PAINT 2. Maximieren Sie das Fenster 3. Verkleinern Sie das Fenster (Nicht Minimieren!!) 4. Öffnen Sie ZUSÄTZLICH zu PAINT den Windows Explorer 5. Verkleinern

Mehr

System-Update. 3. In diesem Ordner müssen nun folgende vier Dateien vorhanden sein:

System-Update. 3. In diesem Ordner müssen nun folgende vier Dateien vorhanden sein: System-Update 3. In diesem Ordner müssen nun folgende vier Dateien vorhanden sein: Um den internen Speicher Ihres Tablets vollständig nutzbar zu machen, können Sie ein Update installieren, das Sie über

Mehr

KNX BAOS Gadget. Installations- und Bedienanleitung. WEINZIERL ENGINEERING GmbH. DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl.

KNX BAOS Gadget. Installations- und Bedienanleitung. WEINZIERL ENGINEERING GmbH. DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl. Installations- und Bedienanleitung DE-84508 Burgkirchen E-Mail: info@weinzierl.de Web: www.weinzierl.de 2013-08-12 Seite 1/6 Inhaltsverzeichnis 1. BESCHREIBUNG... 3 2. SYSTEMVORAUSSETZUNGEN... 3 3. INSTALLATION...

Mehr

Kapitel 1: Betriebssystem IX. W indows Explorer und der Arbeitsplatz Teil 2

Kapitel 1: Betriebssystem IX. W indows Explorer und der Arbeitsplatz Teil 2 1.0 Die Darstellung im Explorer, Arbeitsplatz bzw. Eigene Dateien ändern bzw. einstellen Gegenüber den Betriebssystemen Windows 98 / NT / 2000 hat sich die Darstellung des Explorers in den Betriebssystemen

Mehr

Es gibt einige Kardinalstellen, an denen sich auf der Festplatte Müll ansammelt: Um einen Großteil davon zu bereinigen.

Es gibt einige Kardinalstellen, an denen sich auf der Festplatte Müll ansammelt: Um einen Großteil davon zu bereinigen. Windows Säubern: Es gibt einige Kardinalstellen, an denen sich auf der Festplatte Müll ansammelt: Um einen Großteil davon zu bereinigen. Internetdateien: Öffnen Sie den Internet Explorer (blaues e ). Öffnen

Mehr

Inhalt. 1 Einleitung AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER

Inhalt. 1 Einleitung AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER AUTOMATISCHE DATENSICHERUNG AUF EINEN CLOUDSPEICHER Inhalt 1 Einleitung... 1 2 Einrichtung der Aufgabe für die automatische Sicherung... 2 2.1 Die Aufgabenplanung... 2 2.2 Der erste Testlauf... 9 3 Problembehebung...

Mehr

Mediator 9 - Lernprogramm

Mediator 9 - Lernprogramm Mediator 9 - Lernprogramm Ein Lernprogramm mit Mediator erstellen Mediator 9 bietet viele Möglichkeiten, CBT-Module (Computer Based Training = Computerunterstütztes Lernen) zu erstellen, z. B. Drag & Drop

Mehr

Windows Server 2012 R2 Essentials & Hyper-V

Windows Server 2012 R2 Essentials & Hyper-V erklärt: Windows Server 2012 R2 Essentials & Hyper-V Windows Server 2012 R2 Essentials bietet gegenüber der Vorgängerversion die Möglichkeit, mit den Boardmitteln den Windows Server 2012 R2 Essentials

Mehr

Anleitung zur Einrichtung des WDS / WDS with AP Modus

Anleitung zur Einrichtung des WDS / WDS with AP Modus Anleitung zur Einrichtung des WDS / WDS with AP Modus Inhaltsverzeichnis Seite 2 Einführung Seite 3 Aufbau des Netzwerkes Seite 4 Einrichtung des 1. DAP-2553 Seite 5 Einrichtung des 1. DAP-2553 (2) Seite

Mehr

Warum? Keine umständliche Telefonbuch-Synchronisierung, alle Kontakte sind gleich zur Hand.

Warum? Keine umständliche Telefonbuch-Synchronisierung, alle Kontakte sind gleich zur Hand. Was ist CarContacts.SIS? Mit CarContacts.SIS können Sie die Telefonbuch-Kontakte Ihres Mobiltelefons per Bluetooth-Verbindung über ihre Ford Bluetooth Mobiltelefon-Vorbereitung nutzen. Warum? Keine umständliche

Mehr

Installationsanleitung für das KKL bzw. AGV4000 Interface

Installationsanleitung für das KKL bzw. AGV4000 Interface Installationsanleitung für das KKL bzw. AGV4000 Interface Diese Anleitung ist unter Windows XP erstellt worden, ist aber auch übertragbar auf Windows 2000/ Vista / Windows 7. Je nach Einstellungen des

Mehr

Password Depot für ios

Password Depot für ios Password Depot für ios Inhaltsverzeichnis Erste Schritte... 1 Kennwörterdatei erstellen... 1 Neue Einträge erstellen... 3 Einträge / Gruppen hinzufügen... 3 Einträge / Gruppen kopieren oder verschieben...

Mehr

Registrierung am Elterninformationssysytem: ClaXss Infoline

Registrierung am Elterninformationssysytem: ClaXss Infoline elektronisches ElternInformationsSystem (EIS) Klicken Sie auf das Logo oder geben Sie in Ihrem Browser folgende Adresse ein: https://kommunalersprien.schule-eltern.info/infoline/claxss Diese Anleitung

Mehr

Dokumentenverwaltung im Internet

Dokumentenverwaltung im Internet Dokumentenverwaltung im Internet WS 09/10 mit: Thema: Workflow und Rollenverteilung im Backend Gruppe: DVI 10 Patrick Plaum und Kay Hofmann Inhalt 1. Benutzer und Benutzergruppen erstellen...2 1.1. Benutzergruppen...2

Mehr

Folgen Sie bitte genau den hier gezeigten Schritten und achten Sie auf die korrekte Eingabe der Daten.

Folgen Sie bitte genau den hier gezeigten Schritten und achten Sie auf die korrekte Eingabe der Daten. Einrichtung Ihrer orgamax Cloud auf dem MAC Zur Einrichtung der orgamax Cloud auf Ihrem MAC beschreiben wir hier die Vorgehensweise. Beachten Sie bitte, dass die Nutzung der orgamax-mobil Cloud vom MAC

Mehr

Anleitung für Zugriff auf den LEM-FTP-Server

Anleitung für Zugriff auf den LEM-FTP-Server Anleitung für Zugriff auf den LEM-FTP-Server Diese Anleitung hilft Ihnen dabei, Zugang zum FTP-Server des Laboratoriums für Elektronenmikroskopie der Universität Karlsruhe (TH) zu bekommen. Sie werden

Mehr

Aufklappelemente anlegen

Aufklappelemente anlegen Aufklappelemente anlegen Dieses Dokument beschreibt die grundsätzliche Erstellung der Aufklappelemente in der mittleren und rechten Spalte. Login Melden Sie sich an der jeweiligen Website an, in dem Sie

Mehr

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Die Entscheidung Advoware über VPN direkt auf dem lokalen PC / Netzwerk mit Zugriff auf die Datenbank des zentralen Servers am anderen

Mehr