Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 1 -

Größe: px
Ab Seite anzeigen:

Download "Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 1 -"

Transkript

1 Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 1 -

2 IO- Makrozelle IO- Makrozelle IO- Makrozelle Globale Verbindungsmatrix IO- Makrozelle IO- Makrozelle IO- Makrozelle PLD-Architekturen CPLD-Architektur PAD 1 1 PAD PAD 1 1 PAD PAD 1 1 PAD Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 2 -

3 PLD-Architekturen FPGA-Architektur PAD PAD PAD PAD IOB IOB IOB IOB PAD IOB CLB CLB CLB CLB IOB PAD PAD IOB CLB CLB CLB CLB IOB PAD Routing PAD IOB CLB CLB CLB CLB IOB PAD PAD IOB CLB CLB CLB CLB IOB PAD IOB IOB IOB IOB PAD PAD PAD PAD Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 3 -

4 PLD-Architekturen FPGAs für komplexe, digitale Systeme Anbieter: Xilinx ( Altera ( Lattice ( Actel ( QuickLogic ( In der Vorlesung wird die Spartan-3 Familie der Firma Xilinx als Beispiel verwendet. Im Praktikum wird dazu passend das Spartan-3 Starter Kit Board eingesetzt. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 4 -

5 Abkürzungen und Erläuterungen: CLB: Configurable Logic Block Slice: Element with two 4-Input LUTs and 2 DFFs LUT: Look Up Table DFF: D-FlipFlop IOB: Input Output Block DCM: Digital Clock Manager Block RAM: Embedded RAM memory Multiplier: Embedded Hardware Multiplier Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 5 -

6 Familien-Übersicht: Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 6 -

7 Familien-Übersicht: Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 7 -

8 Architektur Zwischen den Funktionselementen sind Routing-Ressourcen (Leitungssegmente, Leitungen, Schaltmatrizen) plaziert, um die Funktionselemente zu verbinden. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 8 -

9 Konfiguration Konfigurationsdaten werden in statische CMOS Configuration Latches (CCLs) geladen. Damit werden alle Funktionen und Routing-Ressourcen eines FPGAs eingestellt. Konfiguration kann erfolgen über: Paralleles oder Serielles PROM (Master) Parallele oder serielle Prozessorschnittstelle (Slave) JTAG/Boundary Scan-Schnittstelle Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 9 -

10 Konfiguration, Beispiele JTAG-Port JTAG Download Cable TCK TMS TDI TDO FPGA Serielles PROM Serial PROM CCLK DONE PROG DIN INIT FPGA Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

11 Konfiguration, Typische Schaltung JTAG Download Cable TCK TMS TDI TDO Konfiguration des FPGA erfolgt entweder über JTAG oder über Serielles PROM. Seriellles PROM kann über JTAG im System programmiert werden. (In System Programmable, ISP) Configuration Mode TCK TMS TDI FPGA M0 M1 M2 TDO TDX CCLK DONE PROG DIN INIT TCK TMS TDI TDO ISP Serial PROM Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

12 I/O-Zellen Einfache I/O-Zelle I/O-Zelle mit Registern T O I En I O PAD T O OTCLK 1D C1 1D C1 En I O PAD I 1D C1 ICLK Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

13 I/O-Zellen DDR I/O-Zelle T1 1D C1 DDR-MUX Ein-/Ausgabe von Daten mit jeder Taktflanke. Verwendung von symmetrischen, um 180 verschobenen Taktsignalen. T2 O1 OTCLK1 O2 OTCLK2 I1 ICLK1 I2 1D C1 1D C1 1D C1 1D C1 1D C1 En I DDR-MUX O PAD ICLK2 Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

14 I/O-Zellen Programmierbare Eigenschaften: Pull-Up and Pull- Down Widerstände Keeper -Schaltung Geschwindigkeit und Treiberstärke (Slew Rate, Drive Strength) SelectIO: Auswahl des Logikstandards Einstellbar mittels Attributen oder generischen Parametern. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

15 I/O-Zellen Single-Ended I/O Standards LVTTL Logic Signals with TTL-Levels but restricted to 3.3V LVCMOS12, LVCMOS15, LVCMOS18, LVCMOS25, LVCMOS33 Logic Signals with Levels for 1.2V, 1.5V, 1.8V, 2.5V and 3.3V SSTL18_I, SSTL18_II, SSTL2_I, SSTL2_II External Memory Interfaces HSTL_I, HSTL_III, HSTL_I_18, HSTL_II_18, HSTL_III_18 External Memory Interfaces GTL, GTLP Backplane Busses PCI33_3 PCI Backplane Bus Sender Receiver Z I Z 0 Z L Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

16 Volts Spartan-3 Einführung I/O-Zellen Single-Ended I/O Standards 3,5 3 2,5 2 1,5 1 0,5 0 LVTTL LVCMOS12 Comparison LVCMOS15 LVCMOS18 LVCMOS25 LVCMOS33 SSTL18_I SSTL18_II SSTL2_I SSTL2_II HSTL_I HSTL_III HSTL_I_18 HSTL_II_18 HSTL_III_18 GTL GTLP PCI33_3 Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen VOH VIH GAP VIL VOL

17 I/O-Zellen Terminierung von Single-Ended I/O Standards Sender Receiver Series Z 0 Z I R S Z L Parallel Z I Z 0 Z L R P R 1 Z I Z 0 Z L Thevenin, Dual R 2 AC Z 0 Z I R Z L C Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

18 I/O-Zellen Differential I/O Standards LDT_25 Chip-to-chip interconnect LVDS_25, LVDSEXT_25 High performance serial data transmission BLVDS_25 Multipoint cable or backplane applications RSDS_25 Flat panel display interfacing LVPECL_25 Low voltage ECL high speed data transmission DIFF_HSTL_II_18 External Memory Interfaces DIFF_SSTL2_II External Memory Interfaces Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

19 I/O-Zellen Differential I/O Standards, Eingangsseite Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

20 Volts Spartan-3 Einführung I/O-Zellen Differential I/O Standards, Eingangsseite Typical Minimum Differential Input Voltages 4 3,5 3 2,5 2 V ID 1,5 1 0,5 V ICM 0 LDT_25 LVDS_25 LVDS_25_DCI BLVDS_25 LVDSEXT_25 LVDSEXT_25_DCI LVPECL_25 RSDS_25 DIFF_HSTL_II_18 DIFF_HSTL_II_18_DCI DIFF_SSTL2_II DIFF_SSTL2_II_DCI Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

21 I/O-Zellen Differential I/O Standards, Ausgangsseite Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

22 I/O-Zellen Differential I/O Standards, Ausgangsseite Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

23 I/O-Zellen Terminierung von Differential I/O Standards Sender Receiver Z 0 Z I R Z L Z 0 Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

24 I/O-Zellen Organisation der IO-Pins in Bänken Jede Bank muss gemäß den gewählten IO-Standards der zugehörigen Pins mit Spannung versorgt werden. Bei einigen IO-Standards sind darüber hinaus noch zusätzliche Referenzspannungen notwendig. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

25 I/O-Zellen VHDL-Beispiel LVDS_25 C1 I_diff C2 IBUFDS OBUFT LVCMOS33 A16 O_tristate LVCMOS25 D3 I_single LVCMOS33 I_Clk C15 IBUF IBUFG OBUFDS FDCE En2 1,2D C1 R Q LVDS_25 D1 D2 O_diff LVCMOS33 B16 O_single Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

26 I/O-Zellen VHDL-Beispiel library ieee; use ieee.std_logic_1164.all; library UNISIM; use UNISIM.Vcomponents.ALL; -- Elementare FPGA-Komponenten entity io_example is port( I_Clk: in std_logic; I_single: in std_logic; I_diff: in std_logic_vector(1 downto 0); O_single: out std_logic; O_tristate: out std_logic; O_diff: out std_logic_vector(1 downto 0) ); end io_example; NET "I_Clk" LOC = "C15" IOSTANDARD = LVCMOS33; NET "I_Clk" PERIOD = 20.0ns HIGH 40%; UCF-Datei NET "I_single" LOC = "D3" IOSTANDARD = LVCMOS25; NET "I_diff<1>" LOC = "C1" IOSTANDARD = LVDS_25; NET "I_diff<0>" LOC = "C2" IOSTANDARD = LVDS_25; NET "O_single" LOC = "B16" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 4 ; NET "O_diff<1>" LOC = "D1" IOSTANDARD = LVDS_25 SLEW = SLOW DRIVE = 6 ; NET "O_diff<0>" LOC = "D2" IOSTANDARD = LVDS_25 SLEW = SLOW DRIVE = 6 ; NET "O_tristate" LOC = "A16" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 12 ; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

27 I/O-Zellen VHDL-Beispiel -- Eingangstreiber für Taktsignal IBUFG_inst: IBUFG port map ( O => clk, I => I_Clk ); I_Clk C15 IBUFG clk D3 I_single -- Differenzieller Eingangstreiber IBUFDS_inst: IBUFDS port map ( I_diff O => diff_input, I => I_diff(1), -- P-Eingang IB => I_diff(0) -- N-Eingang ); C1 C2 IBUFDS diff_input Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

28 I/O-Zellen VHDL-Beispiel -- Tristate Ausgang O_tristate <= I_single when diff_input='1' else 'Z'; OBUFT A16 O_tristate -- Differenzieller Ausgangstreiber OBUFDS_inst : OBUFDS port map ( O => O_diff(1), -- P-Ausgang OB => O_diff(0), -- N-Ausgang I => single_input ); OBUFDS D1 D2 O_diff Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

29 I/O-Zellen VHDL-Beispiel -- Ausgangsflipflop FDCE_inst: FDCE generic map (INIT => '1') -- initaler Wert port map( Q => O_single, FDCE C => clk, En2 CE => diff_input, 1,2D Q CLR => '0', C1 D => I_single ); R B16 O_single INST "FDCE_inst" IOB=TRUE; UCF-Datei Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

30 CLB: Konfigurierbarer Logikblock Aufbau eines CLB aus Slices Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

31 CLB: Konfigurierbarer Logikblock Logik-Ressourcen eines Slice Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

32 CLB: Konfigurierbarer Logikblock Carry-Logik eines Slice Carry-Logik eines Slice: Dient zum Aufbau von: Addierern Zählern Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

33 CLB: Konfigurierbarer Logikblock Slice als synchrones 32x1 RAM RAM32X1S RAM32X1S_inst : RAM32X1S GENERIC MAP (INIT => X" ") PORT MAP ( A0=>A(0), A1=>A(1), A2=>A(2), A3=>A(3), A4=>A(4), D=>D, WCLK=>Clk, WE=>WE, O=>O ); Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

34 CLB: Konfigurierbarer Logikblock Slice als 16x1 Dual Ported RAM RAM16X1D RAM16X1D_inst : RAM16X1D GENERIC MAP ( INIT => X"0000" ) PORT MAP ( A0=>A(0), A1=>A(1), A2=>A(2), A3=>A(3), D=>D, DPRA0=>DPA(0), DPRA1=>DPA(1), DPRA2=>DPA(2), DPRA3=>DPA(3), WCLK=>Clk, WE=>WE, DPO=>DPO, SPO=>SPO ); Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

35 CLB Gesamtaufbau eines Slice (Details siehe Spartan-3 Datenblatt) Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

36 Block-RAM Block-RAM-Größe: Bit Data Memory 2048-Bit Parity Memory, Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

37 Block-RAM Zwei Modi: Dual Port Single Port Einstellbare Datenwortbreite, unabhängig auf beiden Ports Initialisierung des RAM-Inhalts Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

38 Block-RAM Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

39 Block-RAM Instanzierung eines Single-Port RAMs mit 8+1 Datenbits RAM_INST : RAMB16_S9 generic map( INIT => x"000", INIT_00 => x" ", INIT_01 => x" ",... INIT_3E => x" ", INIT_3F => x" ", INITP_00 => x" ", INITP_01 => x" ",... INITP_06 => x" ", INITP_07 => x" ", SRVAL => x"000", WRITE_MODE => "READ_FIRST") port map ( ADDR => ADDR(10 downto 0), CLK => CLK, DI => DIN(7 downto 0), DIP => DINP, EN => EN, SSR => SSR, WE => WE, DO => DOUT(7 downto 0), DOP => DOUTP ); Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

40 Block-RAM Instanzierung eines Dual-Port RAMs mit 8+1 und 16+2 Datenbits RAMB16_S9_S18_inst : RAMB16_S9_S18 GENERIC MAP ( INITP_00 => X" ",... INITP_07 => X" ", INIT_00 => X" ",... INIT_3F => X" ", INIT_A => X"000", SRVAL_A => X"000", WRITE_MODE_A => "WRITE_FIRST", INIT_B => X"00000", SRVAL_B => X"00000", WRITE_MODE_B => "WRITE_FIRST" ) PORT MAP ( -- Port A: 8 Datenbits, 1 Paritätsbit -- Port B: 16 Datenbits, 2 Paritätsbit ADDRA => ADDR_A(10 DOWNTO 0), ADDRB => ADDR_B(9 DOWNTO 0), CLKA => Clock_A, CLKB => Clock_B, DIA => DI_A(7 DOWNTO 0), DIB => DI_B(15 DOWNTO 0), DIPA => DIP_A, DIPB => DIP_B (1 DOWNTO 0), ENA => En_A, ENB => En_B, SSRA => SSR_A, SSRB => SSR_B, WEA => We_A, WEB => We_B, DOA => DO_A(7 DOWNTO 0), DOB => DO_B(15 DOWNTO 0), DOPA => DOP_A(0 DOWNTO 0), DOPB => DOP_B(1 DOWNTO 0) ); Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

41 Multiplizierer Version ohne und mit Register am Ausgang MULT18X18S_inst : MULT18X18S port map ( A=>A(17 downto 0), -- Operand A B=>B(17 downto 0), -- Operand B C=>Clk, -- Takt CE=>CE, -- Taktfreigabe R=>R, -- Synchrones Rücksetzen P=>P(35 downto 0) -- Produkt ); Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

42 Multiplizierer Zeitverhalten Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

43 Globales Taktnetzwerk Taktnetzwerk Bereitstellung verschiedener Takte Gewährleistung geringer Phasenverschiebungen auf den Taktleitungen Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

44 Digitale Clock Manager (DCM) Erzeugen präziser Takte Aufgaben: Bereitstellung mehrphasiger Takte Ausgleich von Versatz auf Taktleitungen Synthetisierung hoher und niedriger Taktfrequenzen Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

45 Digitale Clock Manager (DCM) component DCM generic ( CLKDV_DIVIDE : real := 2.0; , 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6.0, 6.5, 7.0, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, and 16. CLKFX_DIVIDE : integer := 1; -- 2 to 32 CLKFX_MULTIPLY : integer := 4; -- 1 to 32 CLKIN_DIVIDE_BY_2 : boolean := false; -- TRUE, FALSE CLKOUT_PHASE_SHIFT : string := "NONE"; -- NONE, FIXED, VARIABLE CLK_FEEDBACK : string := "1X"; -- NONE, 1X, 2X DFS_FREQUENCY_MODE : string := "LOW"; -- Low, High DLL_FREQUENCY_MODE : string := "LOW"; -- LOW, HIGH DUTY_CYCLE_CORRECTION : boolean := true; -- TRUE, FALSE PHASE_SHIFT : integer := 0; ); port ( CLKIN : in std_ulogic := '0'; -- Takteingang CLKFB : in std_ulogic := '0'; -- Taktrückkopplung PSCLK : in std_ulogic := '0'; -- Takt für variable Phasenverschiebung PSEN : in std_ulogic := '0'; -- Freigabe für variable Phasenverschiebung PSINCDEC : in std_ulogic := '0'; -- Richtung für variable Phasenverschiebung RST : in std_ulogic := '0'; -- Rücksetzen CLK0 : out std_ulogic; -- Synchron zu CLKIN CLK90 : out std_ulogic; Phasenverschoben zu CLKIN CLK180 : out std_ulogic; Phasenverschoben zu CLKIN CLK270 : out std_ulogic; Phasenverschoben zu CLKIN CLK2X : out std_ulogic; -- Doppelte Frequenz wie CLKIN, gleiche Phasenlage CLK2X180 : out std_ulogic; verschoben zu CLK2X CLKDV : out std_ulogic; -- CLKIN-Frequenz geteilt durch CLKDV_DIVIDE CLKFX : out std_ulogic; -- CLKIN-Frequenz * CLKFX_MULTIPLY / CLKFX_DIVIDE CLKFX180 : out std_ulogic; verschoben zu CLKFX LOCKED : out std_ulogic; -- Status: CLKIN und CLKFB sind Phasengleich PSDONE : out std_ulogic; -- Status: Variable Phasenverschiebung abgeschlossen STATUS : out std_logic_vector(7 downto 0); -- Phase Shift Overflow, CLKIN Stopped Toggling, CLKFX/CLKFX180 Stopped Toggling ); end component; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

46 Phased Locked Loop (PLL) (Spartan-6) The PLL can serve as a frequency synthesizer for a wider range offrequencies and as a jitter filter for incoming clocks in conjunction with the DCMs. The heart of the PLL is a voltage-controlled oscillator (VCO) with a frequency range of 400 MHz to 1,080 MHz, thus spanning more than one octave. Three sets of programmable frequency dividers (D, M, and O) adapt the VCO to the required application. The pre-divider D (programmable by configuration) reduces the input frequency and feeds one input of the traditional PLL phase comparator. The feedback divider (programmable by configuration) acts as a multiplier because it divides the VCO output frequency before feeding the other input of the phase comparator. D and M must be chosen appropriately to keep the VCO within its controllable frequency range. The VCO has eight equally spaced outputs (0, 45, 90, 135, 180, 225, 270, and 315 ). Each can be selected to drive one of the six output dividers, O0 to O5 (each programmable by configuration to divide by any integer from 1 to 128). f out, i M D O i f in Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

47 Phased Locked Loop (PLL) (Spartan-6) CLOCKGEN: block is constant EXT_CLK_PERIOD : real := 10.0; constant EXT_CLK_DIV : integer := 1; constant FB_CLK_MULT : integer := 8; constant CLK0_DIVIDE : integer := 32;... (Ebenso für clk0 bis clk5) signal ext_clk_buf: std_ulogic; signal fb_clk: std_ulogic; signal fb_clk_buf: std_ulogic; signal clk0_unbuf: std_ulogic;... (Ebenso für clk0 bis clk5) signal main_pll_locked_sync1 : std_ulogic := '0'; signal main_pll_locked_sync2 : std_ulogic := '0'; begin IBUFG_inst: BUFG_instfb: BUFG_inst0: IBUFG port map (o => ext_clk_buf, i => ext_clk); BUFG port map (o => fb_clk_buf, i => fb_clk); BUFG port map (o => clk0, i => clk0_unbuf);... (Ebenso für clk0 bis clk5) pll_inst : PLL_BASE generic map( BANDWIDTH => "OPTIMIZED", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "SYSTEM_SYNCHRONOUS", DIVCLK_DIVIDE => EXT_CLK_DIV, CLKFBOUT_MULT => FB_CLK_MULT, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => CLK0_DIVIDE, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500,... (Ebenso für clk0 bis clk5) CLKIN_PERIOD => EXT_CLK_PERIOD, REF_JITTER => 0.010) port map ( -- Output clocks clkfbout => fb_clk, clkout0 => clk0_unbuf,... (Ebenso für clk0 bis clk5) locked => main_pll_locked, rst => ext_rst, clkfbin => fb_clk_buf, clkin => ext_clk_buf ); end block; PLL_BASE benötigt folgende Bibliothek: library unisim; use unisim.vcomponents.all; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

48 Verbindungsleitungen Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

49 Weitere Informationen zur Spartan-3 Serie Home : Documentation : Publications By Part : FPGA Device Families : Spartan-3A : Spartan-3 Generation FPGA User Guide Spartan-3 Data sheet (und viele weitere interessante Dokumente) Home : Documentation : Software Manuals : Libraries Guide Constraints Guide Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 33 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 33 Problem

Mehr

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/12 1 / 36 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 36 Problem

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

4. Die Spartan3-Familie

4. Die Spartan3-Familie 4. Die Spartan3-Familie 1 Programm für heute: Die Spartan3-Familie CLBs (configurable logic blocks), Slices, Logic Cells IO-Blöcke Taktung 2 Configurable Logic Block (CLB) Ein CLB besteht aus zwei identischen

Mehr

Zusatzplatine MP-Bus HZS 562

Zusatzplatine MP-Bus HZS 562 ZUSATZPLATINE MP-BUS HZS 562 Zusatzplatine MP-Bus HZS 562 Die MP-Bus Interfaceelektronik stellt die Schnittstelle zwischen einem CAN-Bus-System und einem MP-Bus-System dar. Die Elektronik wird als MP-Bus

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters Cswitch Seminar Von Andreas Peters 1 Gliederung Einführung Daten / Anwendungsgebiete Aufbau: Kommunikationsstruktur Vier Tiles Sonstige Features Kleine Zusammenfassung 2 Einführung Was ist Cswitch? 3 Exceeding

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus. ERP-Nr.: 5204183. www.guentner.de. Datenblatt GIOD.1 V_3.0

Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus. ERP-Nr.: 5204183. www.guentner.de. Datenblatt GIOD.1 V_3.0 Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus ERP-Nr.: 5204183 www.guentner.de Seite 2 / 10 Inhaltsverzeichnis 1 GIOD.1... 3 1.1 Funktionsbeschreibung... 3 1.2 Anschlüsse...5 1.3 Elektrische Eigenschaften...

Mehr

auf differentiellen Leitungen

auf differentiellen Leitungen Eingebettete Taktübertragung auf differentiellen Leitungen Johannes Reichart Kleinheubacher Tagung Miltenberg, 28.09.2009 Institut für Prof. Elektrische Dr.-Ing. und Optische Manfred Nachrichtentechnik

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

FPGA-Based Architecture for Pattern Recognition

FPGA-Based Architecture for Pattern Recognition Institut für Technik der Informationsverarbeitung FPGA-Based Architecture for Pattern Recognition Institut für Prozessdatenverarbeitung und Elektronik - IPE, KIT University of the State of Baden-Wuerttemberg

Mehr

Eingebettete Taktübertragung auf Speicherbussen

Eingebettete Taktübertragung auf Speicherbussen Eingebettete Taktübertragung auf Speicherbussen Johannes Reichart Workshop Hochgeschwindigkeitsschnittstellen Stuttgart, 07.11.2008 Unterstützt durch: Qimonda AG, München Institut für Prof. Elektrische

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Benötigte Hardware: - CBX 800 - BM3x0 Profibus Interface-Modul - Handscanner + Kabel CAB 509/512 1. Das HHR Gerät als RS232

Mehr

Spartan-II 2,5V Familie FPGAs

Spartan-II 2,5V Familie FPGAs - 1 - Spartan-II 2,5V Familie FPGAs Proseminar FPGAs SS 2003 1. Einfuehrung 2. Architektur 2.1 Ein-/Ausgabe Block 2.2 Konfigurierbare Logik Block (CLB) 2.3 Programmierbare Routing Matrix (PRM) 2.4 Block

Mehr

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen QuickStart Guide to read a transponder with a scemtec TT reader and software UniDemo Voraussetzung: - PC mit der

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2)

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Kurzbeschreibung: Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Beim HSI-88-USB handelt es sich um ein Interface vom s88-rückmeldebus zum Universal Serial Bus

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

MobiDM-App Handbuch für Windows Mobile

MobiDM-App Handbuch für Windows Mobile MobiDM-App Handbuch für Windows Mobile Dieses Handbuch beschreibt die Installation und Nutzung der MobiDM-App für Windows Mobile Version: x.x MobiDM-App Handbuch für Windows Mobile Seite 1 Inhalt 1. WILLKOMMEN

Mehr

PROFIBUS-DP / INTERBUS-S / CAN-OPEN / DEVICE NET FÜR MULTIMACH

PROFIBUS-DP / INTERBUS-S / CAN-OPEN / DEVICE NET FÜR MULTIMACH PROFIBUSDP / INTERBUSS / CANOPEN / DEVICE NET FÜR MULTIMACH Die erweiterbaren modularen Slaves für Multimach entsprechen der gleichen Philosophie der absoluten Modularität des Multimach Systemes. Es gibt

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server.

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server. 1. Dynamic Host Configuration Protocol 1.1 Einleitung Im Folgenden wird die Konfiguration von DHCP beschrieben. Sie setzen den Bintec Router entweder als DHCP Server, DHCP Client oder als DHCP Relay Agent

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

ICCS SSP 10. Merkmale. Anwendungsbeispiele

ICCS SSP 10. Merkmale. Anwendungsbeispiele ICCS Standard Switch Panel mit 10 Tasten (SSP10) gehört zu der ICCS (Intelligent Control and Command Systems) Produktgruppe. Es kann entweder als selbständiges Modul oder als Erweiterung zu bestehenden

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Bedienungsanleitung. FAST SMS Set mit MacOS betreiben MAC

Bedienungsanleitung. FAST SMS Set mit MacOS betreiben MAC FAST SMS Set TM mit MacOS betreiben MAC Comat AG Bernstrasse 4 CH-3076 Worb Tel. +41 (0)31 838 55 77 www.comat.ch info@comat.ch Fax +41 (0)31 838 55 99 Inhaltsverzeichnis 1. Einführung... 2 2. Voraussetzungen...

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

Handbuch RS 232 <> 20mA Schnittstellenwandler

Handbuch RS 232 <> 20mA Schnittstellenwandler Handbuch RS 232 20mA Schnittstellenwandler W&T Typ 84001 84003, 84004 Release 1.2 Irrtum und Änderung vorbehalten 1 10/2006 by Wiesemann & Theis GmbH Irrtum und Änderung vorbehalten: Da wir Fehler machen

Mehr

CABLE TESTER. Manual DN-14003

CABLE TESTER. Manual DN-14003 CABLE TESTER Manual DN-14003 Note: Please read and learn safety instructions before use or maintain the equipment This cable tester can t test any electrified product. 9V reduplicated battery is used in

Mehr

Grundlagen der Eletrotechnik Praktikum. Einführung in. National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM

Grundlagen der Eletrotechnik Praktikum. Einführung in. National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM Grundlagen der Eletrotechnik Praktikum Einführung in National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM für das Praktikum im Fachgebiet Allgemeine und Theoretische Elektrotechnik

Mehr

Dipl. Ing. Erich H. Franke, DK6II 50. UKW Tagung Weinheim 10.9.2005. Frequenzsynthese mit PLL Wozu eigentlich? Frequenzsynthesizer werden angewandt

Dipl. Ing. Erich H. Franke, DK6II 50. UKW Tagung Weinheim 10.9.2005. Frequenzsynthese mit PLL Wozu eigentlich? Frequenzsynthesizer werden angewandt Fractional n PLL Frequenzsynthese Dipl. Ing. Erich H. Franke, DK6II 50. UKW Tagung Weinheim 10.9.2005 Frequenzsynthese mit PLL Wozu eigentlich? Frequenzsynthesizer werden angewandt wo Frequenzen in Schritten

Mehr

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik /

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik / / Mikrocomputertechnik Eingabetastatur Hexadezimal Schalter Addierer 7Segment Anzeige 47 / 13 V.03 Technische Änderungen vorbehalten!.universelles Demonstrationssystem für Grundlagen der / Mikrocomputertechnik.Anzeigen

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Protokollbeschreibung Modbus TCP für EMU TCP/IP Modul

Protokollbeschreibung Modbus TCP für EMU TCP/IP Modul Protokollbeschreibung Modbus TCP für EMU TCP/IP Modul Zweck: Dieses Protokollbeschreibung beschreibt den Aufbau des Modbus TCP Protokolls. Datei: EMU Professional Modbus TCP d Seite 1 von 15 Version 1.0

Mehr

Technical Note 0201 Gateway

Technical Note 0201 Gateway Technical Note 0201 Gateway WDGA Drehgeber mit CANopen an Profibus DP - 1 - Inhaltsverzeichnis 1 Allgemeines... 3 1.1 Information... 3 1.2 Hinweis... 3 2 Gateway konfigurieren... 4 2.1 Kommunikationseinstellungen...

Mehr

Manchester Codierung sowie Differenzielle Manchester Codierung

Manchester Codierung sowie Differenzielle Manchester Codierung Manchester Codierung sowie Differenzielle Manchester Codierung Nadine Sass 1 von 8 Inhaltsverzeichnis Inhaltsverzeichnis... 2 Abbildungsverzeichnis... 3 Das Ethernet... 4 Das IEEE 802.3 Ethernet Paketformat...

Mehr

Einsatz von LabVIEW FPGA im Rahmen eines HIL-Testautomaten. Christian Tölle

Einsatz von LabVIEW FPGA im Rahmen eines HIL-Testautomaten. Christian Tölle Einsatz von im Rahmen eines HIL-Testautomaten Christian Tölle LabVIEW Anwendertreffen 2008 Vorschau mit Einleitende lierte Betrachtung eines Problembereichs sowie Ableitung der resultierenden Anforderungen

Mehr

8. Aufgabenblatt mit Lösungsvorschlag

8. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 8. Aufgabenblatt mit Lösungsvorschlag 09.06.2010 Aufgabe 1: Realisierung des Modellrechners WKP Der in der Vorlesung vorgestellt

Mehr

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Verteidigungsvortrag zur Studienarbeit Ludger Irsig Fraunhofer IIS/EAS Digitale Kameras allgemein

Mehr

Bedienungsanleitung Version 1.0

Bedienungsanleitung Version 1.0 Botex DMX Operator (DC-1216) Bedienungsanleitung Version 1.0 - Inhalt - 1 KENNZEICHEN UND MERKMALE...4 2 TECHNISCHE ANGABEN...4 3 BEDIENUNG...4 3.1 ALLGEMEINES:...4 3.2 BEDIENUNG UND FUNKTIONEN...5 4 SZENEN

Mehr

Technische Referenz - Q&A (Fragen und Antworten) TSD-QA89 (2011/11)

Technische Referenz - Q&A (Fragen und Antworten) TSD-QA89 (2011/11) Technische Referenz - Q&A (Fragen und Antworten) TSD-QA89 (2011/11) 1. F: Wie kann ich die 3D-Funktion auf dem ASRock Vision 3D und dem ION3D System aktivieren? A: Verschiedene Kombinationen von Monitor/TV/Medien

Mehr

Aufnahme mit Cubase LE 6

Aufnahme mit Cubase LE 6 PowerMate 3 /CMS 3 Application Note 1 Aufnahme mit Cubase LE 6 Dieses Dokument beschreibt die Aufnahme einer Live-Veranstaltung über das DIGITAL AUDIO INTERFACE des PowerMate 3 /CMS 3. Als Vorbereitung

Mehr

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme Universal Programmer System Das Programmiersystem für Embedded Systeme - Hohe Programmiergeschwindigkeit - Überspannungsschutz - Schutz gegen fehlerhaftes einlegen des Bauteils - Selbstdiagnose - Breites

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

CONTROLLER RECEIVER REPEATER PAIRING SLIM CLIP

CONTROLLER RECEIVER REPEATER PAIRING SLIM CLIP ANLEITUNGEN // INSTRUCTIONS CONTROLLER RECEIVER REPEATER PAIRING SLIM CLIP BEDIENUNGSANLEITUNG // INSTRUCTION MANUAL MONTAGEANLEITUNG // ASSEMBLY INSTRUCTION MONTAGEANLEITUNG // ASSEMBLY INSTRUCTION KOPPLUNG

Mehr

Bedienungsanleitung TIME IT. a Look Solutions 1 product

Bedienungsanleitung TIME IT. a Look Solutions 1 product Bedienungsanleitung TIME IT a Look Solutions 1 product 2 Inhalt 1. Einleitung 3 2. Beschreibung der einzelnen Tasten 4 2.1 Tasten-/Displayfunktionen 3. Arbeiten mit dem TIME IT 6 3.1 Einsatz als Kabelfernbedienung

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Errata dspic-boards Fachbereich MDT TU-Berlin

Errata dspic-boards Fachbereich MDT TU-Berlin Errata dspic-boards Fachbereich MDT TU-Berlin Betrifft folgende Boards: 1) dspic30f3013-board 2) dspic33fj256gp710-board (TUB-Version) 3) dspic33fj256gp710-board (Aalborg-Version) Ausgabe: 12.10.2007 Autoren:

Mehr

VarioTAP Einführung Hosea L. Busse

VarioTAP Einführung Hosea L. Busse VarioTAP Einführung Hosea L Busse GÖPEL electronic GmbH 2013 JTAG/Boundary Scan 1 Überblick Was ist VarioTAP? Prinzipielle Struktur eines µcontrollers VarioTAP Teststruktur VarioTAP Testkategorien VarioTAP

Mehr

10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit

10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit 10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit Dieses Kapitel beschreibt die Inbetriebnahme und den ersten Test mit dem AT89LP2052 Elliptecmotor Kit. Eine einfache Access Software für die Steuerung

Mehr

Beschreibung. Elektronischer Münzprüfer G-13.6000

Beschreibung. Elektronischer Münzprüfer G-13.6000 Technische Dokumentation Beschreibung Elektronischer Münzprüfer G-3.6000.03 JBe/ds Ausgabe 3.2 AB.3.6-D National Rejectors, Inc. GmbH Zum Fruchthof 6 264 Buxtehude Telefon: 046/729-0 Telefax: 046/729-5

Mehr

Windows Server 2012 R2 Essentials & Hyper-V

Windows Server 2012 R2 Essentials & Hyper-V erklärt: Windows Server 2012 R2 Essentials & Hyper-V Windows Server 2012 R2 Essentials bietet gegenüber der Vorgängerversion die Möglichkeit, mit den Boardmitteln den Windows Server 2012 R2 Essentials

Mehr

Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5.

Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5. Inbetriebnahme des Willem Programmers PCB5-E. Die Software GQUSBprg 0.98d6 Willem Enhanced / Dual Power Programmer PCB5.0E von der Website http://www.zeitech.de/index.php?s=36& enthält die Datei 11.02.2011

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

IQ-Mischer - Bedienungsanleitung

IQ-Mischer - Bedienungsanleitung IQ-Mischer - Bedienungsanleitung Der IQ-Mischer bildet die Basis eines einfachen Empfängers mit unterdrückter Spiegelfrequenz. Die Platine besitzt einen Sockel zur Aufnahme des programmierbaren Quarzoszillators

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

5. PC-Architekturen und Bussysteme

5. PC-Architekturen und Bussysteme Abb. 5.1: Aufbau des klassischen PC-AT Abb. 5.2: Busslot im PC-AT Port-Adresse Verwendung 000h-00fh 1. DMA-Chip 8237A 020h-021h 1. PIC 8259A 040h-043h PIT 8253 060h-063h Tastaturcontroller 8042 070h-071h

Mehr

Interrupt-Programmierung

Interrupt-Programmierung Interrupt-Programmierung Am Beispiel des ATMEGA16 Microcontrollers Beispiel: Messung der Betriebszeit Die Betriebszeit zeigt an, wie lange der Rechner seit dem Booten läuft Hier: Aktualisierung der Betriebszeit

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

ECO-Manager - Funktionsbeschreibung

ECO-Manager - Funktionsbeschreibung ECO-Manager - Funktionsbeschreibung Version Autor Datum Kommentare 1.0 A. Sterzenbach 24.03.2006 - Generell Das Einarbeiten und das damit verbundene Aktualisieren eines großen Zusammenbaus (z.b. Werkzeugaufbau)

Mehr

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner XY-Plotter von Thomas Wagner Im folgendem wird ein XY-Plotter beschrieben, der universell einsetzbar ist, jedoch für einen speziellen Einsatzzweck entworfen wurde: die Übertragung, Anzeige und Speicherung

Mehr