Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt

Größe: px
Ab Seite anzeigen:

Download "Outline Addierer Multiplizierer Block RAM. Rechenschaltungen 2. Marc Reichenbach und Michael Schmidt"

Transkript

1 Rechenschaltungen 2 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/12 1 / 36

2 Gliederung Addierer (Fortsetzung) Multiplizierer Block RAM 2 / 36

3 Problem RCA alle Volladdierer arbeiten zwar parallel, ABER Ausbreitung der Carrys erfolgt schrittweise (Stufe für Stufe) d.h. für Addition von n-bit Zahlen wird Zeit proportional zu n benötigt, bis Ergebnis korrekt ist Delay reduzieren, durch effizientere Addierer-Schaltungen (Carry-Look-Ahead Addierer) 3 / 36

4 Carry-Look-Ahead Addierer Reduziert die Zeit, die für die Bestimmung der Carrys notwendig ist an Position i liegt ein Carry vor, wenn es eine Stelle j < i gibt, wo das Carry erzeugt wird und alle Stellen dazwischen, das Carry weiterleiten ein Carry wird an einer Stelle i erzeugt, wenn a i und b i auf 1 gesetzt sind ein Carry wird an dieser Stelle eliminiert, falls a i und b i auf 0 gesetzt sind 4 / 36

5 Carry-Look-Ahead Addierer das führt zu folgenden Definitionen, die für 0 i < n gelten: g i = a i b i (Position i erzeugt Carry) p i = a i b i (Position i gibt anliegendes Carry weiter) es gilt für 1 i < n: Carry an Position i, wenn Carry an Position j < i erzeugt und dazwischen weitergeleitet wird: c i = i 1 j=0 ( g j i 1 k=j+1 p k ) 5 / 36

6 Carry-Look-Ahead Addierer die Berechnung der Summen erfolgt wie zuvor durch s 0 = a 0 b 0 s i = a i b i c i s n = c n ABER, die Formel für c i erlaubt parallele Berechnung der Carrys (nur von a und b abhängig) somit auch s parallel berechenbar 6 / 36

7 Strukturplan 4-Bit CLA: Carry-Look-Ahead Addierer A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 FA' FA' FA' FA' C 0 S 3 S 2 S 1 S 0 p C 3 g 3 C p g 2 C p 2 1 g 1 C p 1 0 g 0 4-Bit Carry Look Ahead 7 / 36

8 Baumstruktur 4-Bit CLA: Carry-Look-Ahead Addierer 8 / 36

9 Carry-Look-Ahead Addierer Verzögerungszeit des CLA wird durch Tiefe des Baumes bestimmt für Addition von n-bit Operanden werden n-bit AND- und OR-Gatter benötigt diese sind durch AND2- (bzw. OR2-) Gatter als Baum mit logarithmischer Tiefe realisierbar Laufzeit CLA daher O(log(n)) Nachteil CLA: erhöhter Ressourcenverbrauch (Speed vs. Area) 9 / 36

10 Addierer-Realisierung im FPGA naive Implementierung: Volladdierer aus 2 Halbaddierern und Abbildung auf 2 LUTs Problem: Carry-Delay für jede Bitstelle über zwei LUTs deshalb Abbildung auf spezielle Look-Ahead Schaltung im FPGA Wichtig: Carry-Look-Ahead Addierer!!! FPGA stellt nur Ressourcen für schnelle Carry-Weiterleitung zur Verfügung (entspricht also RCA-Schaltung) 10 / 36

11 Addierer-Realisierung im FPGA Propagate-Signal entspricht Ergebnis des ersten Halbaddierers (XOR-Gatter) wenn Propagate nicht gesetzt ist, dann ist A = B A oder B kann direkt als Generate-Signal verwendet werden d.h. für Carry-Ausgang wird Propagate als Steuersignal für Multiplexer verwendet Carry-Eingang durchschalten, wenn Propagate = 1 A (oder B) durchschalten, wenn Propagate = 0 11 / 36

12 Addierer-Realisierung im FPGA resultierende Schaltung: 12 / 36

13 Addierer-Realisierung im FPGA Spartan3E enthält dedizierte XOR-Gatter (XORCY) und Multiplexer (MUXCY) zur effizienten Realisierung der Schaltung spezielle Routing-Pfade für schnelle Carry-Ausbreitung geringes Carry-Delay pro Bitstelle, da nur 2:1 Multiplexer Frage: Ist eine CLA-Implementierung auf einem FPGA sinnvoll? 13 / 36

14 Addition in VHDL Realisierung der Addition und Subtraktion in VHDL mit + und - Operator Synthesetool bildet diese Operationen auf die gezeigte Addiererlogik im FPGA ab (bei ASIC von Bibliothek abhängig!) zusätzliche Bibliotheken für Operationen auf std_logic_vector benötigt empfohlen: ieee.numeric_std (standardisiert) nicht empfohlen: ieee.std_logic_unsigned, ieee.std_logic_arith (nicht standardisiert) 14 / 36

15 Einschub: Numeric_Std unsigned() unsigned to_integer() std_logic_vector() to_unsigned(..., length) std_logic_vector integer std_logic_vector() to_signed(..., length) unsigned() signed to_integer() 15 / 36

16 Addition in VHDL l i b r a r y i e e e ; use i e e e. std_logic_1164. a l l ; use i e e e. numeric_std. a l l ; e n t i t y numops i s port ( a, b : i n std_logic_vector (7 downto 0 ) ; add, sub : out std_logic_vector (7 downto 0 ) ) ; end numops ; a r c h i t e c t u r e b e h a v i o r of numops i s begin add <= std_logic_vector ( u n s i g n e d ( a ) + u n s i g n e d ( b ) ) ; sub <= std_logic_vector ( u n s i g n e d ( a ) u n s i g n e d ( b ) ) ; end b e h a v i o r ; 16 / 36

17 Festkomma-Multiplizierer Realisierung über Addition partieller Produkte 1010 * = = Ressourcen-intensiv 17 / 36

18 Festkomma-Multiplizierer Hardware-Realisierung: Partielle Produkte über AND-Gatter Addition der partiellen Produkte über Addierer Aufwand für m n - Multiplikation m n AND-Gatter m (n 1) Addierer Realisierung im FPGA über gezeigte Addiererschaltung mit AND-Funktion in der LUT 18 / 36

19 Multiplizierer im FPGA Alternative im FPGA (wenn vorhanden): Hard-Multiplizierer Spartan3E enthält sogenannte MULT18X18SIO Komponenten Vorteile: platzsparend, schnell Realisierung der Multiplikation über Zweierkomplement zweier 18 Bit Operanden 19 / 36

20 MULT18X18SIO-Komponente 20 / 36

21 MULT18X18SIO-Komponente Komponente enthält optional Eingangsregister für 18 Bit Operanden A und B Ausgangsregister für 36 Bit Ergebnis P über Attribute AREG, BREG und PREG bei direkter Instanziierung festlegbar spezielle Routing-Pfade (BCIN, BCOUT ) für effiziente Kaskadierung mehrerer Multiplizierer 21 / 36

22 Multiplikation in VHDL Realisierung wieder über * Operator möglich mit Bibliothek ieee.numeric_std für std_logic_vector l i b r a r y i e e e ; use i e e e. std_logic_1164. a l l ; use i e e e. numeric_std. a l l ; e n t i t y numops i s port ( a, b : i n std_logic_vector (7 downto 0 ) ; p : out std_logic_vector (15 downto 0 ) ) ; end numops ; a r c h i t e c t u r e b e h a v i o r of numops i s begin p <= std_logic_vector ( u n s i g n e d ( a ) u n s i g n e d ( b ) ) ; end b e h a v i o r ; 22 / 36

23 Multiplikation in VHDL die Abbildung auf FPGA-Ressourcen kann über Synthese-Optionen beeinflusst werden bei Abbildung auf MULT18X18SIO Komponenten entscheidet Art der VHDL Beschreibung über Verwendung der optionalen Register Beispiel: wenn Multiplikation in Taktabfrage verwendet wird, dann wird PREG aktiviert 23 / 36

24 Multiplikation in VHDL Alternativ kann Hard-Multiplizierer direkt eingebunden werden l i b r a r y UNISIM ; use UNISIM. vcomponents. a l l ;... MULT18X18SIO_inst : MULT18X18SIO g e n e r i c map( AREG => 1, A k t i v i e r u n g R e g i s t e r Port A BREG => 1, A k t i v i e r u n g R e g i s t e r Port B B_INPUT => "DIRECT", "DIRECT" o d e r "CASCADE" PREG => 1) A k t i v i e r u n g R e g i s t e r Port P / 36

25 Multiplikation in VHDL... port map( BCOUT => BCOUT, 18 B i t K a s k a d i e r Ausgang P => P, 36 B i t M u l t i p l i z i e r e r E r g e b n i s A => A, 18 B i t M u l t i p l i z i e r e r Operand B => B, 18 B i t M u l t i p l i z i e r e r Operand BCIN => BCIN, 18 B i t CEA => CEA, Clock Enable Port A CEB => CEB, Clock Enable Port B CEP => CEP, Clock Enable Port P CLK => CLK, Clock RSTA => RSTA, R e s e t Port A RSTB => RSTB, R e s e t Port B RSTP => RSTP ) ; R e s e t Port P K a s k a d i e r Eingang 25 / 36

26 Block RAM (BRAM) On-chip Speicher auf FPGAs wichtig für effiziente Datenpufferung Spartan3E enthält sogenannte RAMB16 Komponenten (Anzahl abhängig vom konkreten Typ) verwendbar als RAM, ROM, Schieberegister,... Zusammenschaltung der BRAMs zu Speicher-Modul mit beliebiger Adress- und Datenbreite 26 / 36

27 Block RAM (BRAM) RAMB16 Komponenten kann 16 Kb Daten speichern (+ optional 2 Kb für Parität) ist dual-port fähig zwei getrennte Zugriffsports zu einem physikalischen Speicher 27 / 36

28 BRAM-Beschreibung in VHDL wieder direkte Einbindung von RAMB16 Komponenten möglich l i b r a r y UNISIM ; use UNISIM. vcomponents. a l l ;... RAMB16_S18_S18_inst : RAMB16_S18_S18 g e n e r i c map( INIT_A => X" ", I n i t Port A R e g i s t e r INIT_B => X" ", I n i t Port B R e g i s t e r SRVAL_A => X" ", Wert Port A nach SSR )... SRVAL_B => X" ", Wert Port B nach SSR WRITE_MODE_A => "WRITE_FIRST", o r "READ_FIRST ",... WRITE_MODE_B => "WRITE_FIRST", o r "READ_FIRST ",... SIM_COLLISION_CHECK => "ALL", o r "NONE ",... I n i t i a l i s i e r u n g BRAM I n h a l t INIT_00 => X" ", INIT_01 => X" ", / 36

29 BRAM-Beschreibung in VHDL port map( DOA => DOA, 16 B i t Datenausgang A DOB => DOB, 16 B i t Datenausgang B DOPA => DOPA, 2 B i t P a r t i t a e t s a u s g a n g A DOPB => DOPB, 2 B i t P a r t i t a e t s a u s g a n g B ADDRA => ADDRA, 10 B i t A d r e s s e i n g a n g A ADDRB => ADDRB, 10 B i t A d r e s s e i n g a n g B CLKA => CLKA, Clock A CLKB => CLKB, Clock B DIA => DIA, 16 B i t Dateneingang A DIB => DIB, 16 B i t Dateneingang B DIPA => DIPA, 2 B i t P a r i t a e t s e i n g a n g A DIPB => DIPB, 2 B i t P a r i t a e t s e i n g a n g B ENA => ENA, Enable Port A ENB => ENB, Enable Port B SSRA => SSRA, S y n c h r o n e r Set / R e s e t Port A SSRB => SSRB, S y n c h r o n e r Set / R e s e t Port B WEA => WEA, Write Enable Port A WEB => WEB) ; Write Enable Port B / 36

30 BRAM-Beschreibung in VHDL verschiedene Komponenten-Instanziierungen möglich (Datenblatt) alternativ kann Verhalten in VHDL beschrieben werden Synthesetool bildet Beschreibung auf RAMB16 Komponenten ab Abbildung auch auf verteilten Speicher (LUTs) möglich (durch Syntheseoptionen definieren) 30 / 36

31 BRAM-Beschreibung in VHDL Beispiel für allgemeine dual-port BRAM-Beschreibung (XST User Guide) S c h n i t t s t e l l e n D e f i n i t i o n e n t i t y rams_16 i s port ( c l k a : i n s t d _ l o g i c ; c l k b : i n s t d _ l o g i c ; ena : i n s t d _ l o g i c ; enb : i n s t d _ l o g i c ; wea : i n s t d _ l o g i c ; web : i n s t d _ l o g i c ; addra : i n std_logic_vector (5 downto 0 ) ; addrb : i n std_logic_vector (5 downto 0 ) ; d i a : i n std_logic_vector (15 downto 0 ) ; d i b : i n std_logic_vector (15 downto 0 ) ; doa : out std_ logic_ vector ( 15 downto 0 ) ; dob : out std_ logic_ vector ( 15 downto 0 ) ) ; end rams_16 ; 31 / 36

32 BRAM-Beschreibung in VHDL a r c h i t e c t u r e syn of rams_16 i s type ram_type i s a r r a y (63 downto 0) of std_logic_vector (15 downto 0 ) ; Shared V a r i a b l e f u e r S c h r e i b z u g r i f f u e b e r 2 P o r t s shared v a r i a b l e RAM: ram_type ; begin / 36

33 BRAM-Beschreibung in VHDL... D e f i n i t i o n Port A p r o c e s s ( c l k a ) begin i f c l k a e v e n t and c l k a = 1 then i f ena = 1 then i f wea = 1 then RAM( to_integer ( u n s i g n e d ( addra ) ) ) := d i a ; end i f ; doa <= RAM( to_integer ( u n s i g n e d ( addra ) ) ) ; end i f ; end i f ; end p r o c e s s ; / 36

34 BRAM-Beschreibung in VHDL... D e f i n i t i o n Port B p r o c e s s ( c l k b ) begin i f c l k b e v e n t and c l k b = 1 then i f enb = 1 then i f web = 1 then RAM( to_integer ( u n s i g n e d ( addrb ) ) ) := d i b ; end i f ; dob <= RAM( to_integer ( u n s i g n e d ( addrb ) ) ) ; end i f ; end i f ; end p r o c e s s ; end syn ; 34 / 36

35 BRAM-Beschreibung in VHDL Vorteil allgemein gültige Beschreibung: Wiederverwendbarkeit (generisches Design Übung) Beschreibung anpassbar (siehe XST User Guide) mögliche Initialisierung des BRAM-Inhaltes:... type ram_type i s a r r a y (63 downto 0) of std_logic_vector (15 downto 0 ) ; shared v a r i a b l e RAM: ram_type := ( X" 0200 ", X" 0140 ",..., X" 0000 " ) ; begin / 36

36 Bücher Literatur Entwurf von digitalen Schaltungen und Systemen mit HDLs und FPGAs, Frank Kesel, Ruben Bartholomä, 2. Auflage, Oldenbourg Wissenschaftsverlag GmbH, 2009, ISBN Spartan-3 Generation FPGA User Guide, UG331 (v1.6), December, 2009 VHDL-Synthese, Jürgen Reichardt, Bernd Schwarz, 5. Auflage, Oldenbourg Wissenschaftsverlag GmbH, 2009, ISBN Introduction to Circuit Complexity, Heribert Vollmer, Springer-Verlag Berlin Heidelberg, 1999, ISBN XST User Guide, UG627 (v12.4), December, / 36

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

14 Addierer und Subtrahierer

14 Addierer und Subtrahierer 14 Addierer und Subtrahierer 14.1 Darstellung positiver und negativer Zahlen Die Anzahl der Bitstellen muss festgelegt sein, um positive und negative Zahlen unterscheiden zu Binär m -1 = 3 Positiv Dezimal

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Seminararbeit Sommersemester 2017

Seminararbeit Sommersemester 2017 Schaltkreise für die Addition Seminararbeit Sommersemester 2017 Bearbeitet von: Maximilian Breymaier (Matrikelnummer: 57214) Christoph Mantsch (Matrikelnummer: 57266) Betreuer: Prof. Dr. Thomas Thierauf

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 5. Zielarchitekturen - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Teil V. Programmierbare Logische Arrays (PLAs)

Teil V. Programmierbare Logische Arrays (PLAs) Teil V Programmierbare Logische Arrays (PLAs) 1 Aufbau von PLAs Programmierbares Logisches Array (PLA): Programmierbarer Einheitsbaustein aufgebaut als ein Gitter (Array) von Basisbausteinen (Zellen).

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008 Informatik II Rainer Schrader Zentrum für Angewandte Informatik Köln 3. November 008 1 / 47 / 47 jede Boolesche Funktion lässt mit,, realisieren wir wollen wir uns jetzt in Richtung Elektrotechnik und

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Arithmetische Schaltungen

Arithmetische Schaltungen Arithmetische Schaltungen Dr. Wolfgang Günther Arithmetische Schaltungen 2 Gliederung Addierer Verschiedene Architekturen Multiplizierer Verschiedene Architekturen Barrel Shifter Clock Gating Clock Skew

Mehr

Rechnerarchitektur (RA)

Rechnerarchitektur (RA) 12 Rechnerarchitektur (RA) Sommersemester 2015 Foliensatz 8: Mikroarchitekturen Datentypen Michael Engel Informatik 12 michael.engel@tu-.. http://ls12-www.cs.tu-.de/daes/ Tel.: 0231 755 6121 2014/04/30

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Das negative Zweierkomplementzahlensystem. Ines Junold 23. Februar 2010

Das negative Zweierkomplementzahlensystem. Ines Junold 23. Februar 2010 Das negative Zweierkomplementzahlensystem Ines Junold 23. Februar 2010 1 Inhaltsverzeichnis 1 Einleitung 3 2 Das konventionelle Zweierkomplement 4 2.1 Definition.......................................

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

Zahlendarstellungen und Rechnerarithmetik*

Zahlendarstellungen und Rechnerarithmetik* Zahlendarstellungen und Rechnerarithmetik* 1. Darstellung positiver ganzer Zahlen 2. Darstellung negativer ganzer Zahlen 3. Brüche und Festkommazahlen 4. binäre Addition 5. binäre Subtraktion *Die Folien

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme. Rekonfigurierbare Controller

Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme. Rekonfigurierbare Controller Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme Rekonfigurierbare Controller Roland Kasper Thomas Reinemann Institut für Mechatronik und Antriebstechnik Otto-von-Guericke-Universität Magdeburg

Mehr

Daniel Betz Wintersemester 2011/12

Daniel Betz Wintersemester 2011/12 Daniel Betz Wintersemester 2011/12 Digitally signed by daniel.betz@daniel-betz.com Date: 2011.12.04 17:24:40 +01'00' Insgesamt 16 Register von je 16 Bit (=WORD) Breite Untere 8 Register auch als 2 Register

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Arithmetik. Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen

Arithmetik. Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen Computer and Communication Systems (Lehrstuhl für Technische Informatik) Arithmetik Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen [TI] Winter 2013/2014

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

Das negative Zweierkomplementzahlensystem

Das negative Zweierkomplementzahlensystem Das negative Zweierkomplementzahlensystem Ines Junold 07. Dezember 2009 1 / 21 Inhaltsverzeichnis 1 Einleitung 2 Das konventionelle Zweierkomplement 3 Das negative Zweierkomplementsystem 4 Zusammenfassung

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Multiplikationschip. Multiplikation. Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95. Oberwiesenstr.

Multiplikationschip. Multiplikation. Beitrag zu Werkstattunterricht Multiplikation Allgemeine Didaktik - Seminar SS95. Oberwiesenstr. Informationsblatt für die Lehrkraft Multiplikation Multiplikationschip Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95 Autor: Ernesto Ruggiano Oberwiesenstr. 42 85 Zürich

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Rechnerarithmetik. Vorlesung im Sommersemester 2008. Eberhard Zehendner. FSU Jena. Thema: Ripple-Carry- und Carry-Skip-Addierer

Rechnerarithmetik. Vorlesung im Sommersemester 2008. Eberhard Zehendner. FSU Jena. Thema: Ripple-Carry- und Carry-Skip-Addierer Rechnerarithmetik Vorlesung im Sommersemester 2008 Eberhard Zehendner FSU Jena Thema: Ripple-Carry- und Carry-Skip-Addierer Eberhard Zehendner (FSU Jena) Rechnerarithmetik Ripple-Carry- und Carry-Skip-Addierer

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Algorithmen zur Division

Algorithmen zur Division Algorithmen zur Division Umkehrung der Multiplikation: Berechnung von q = a / b durch wiederholte bedingte Subtraktionen und Schiebeoperationen in jedem Schritt wird Divisor b testweise vom aktuellen Rest

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

ALU ALU. ALU-Aufbau. Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus. Addierer. Logischer Einheit. Shifter

ALU ALU. ALU-Aufbau. Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus. Addierer. Logischer Einheit. Shifter ALU ALU-Aufbau Eine ALU (arithmetisch-logische Einheit) besteht in der Regel aus Addierer Logischer Einheit Shifter Eingänge in eine ALU: zwei Operanden, Instruktionscode OP1 OP0 Ausgänge einer ALU: Ergebnis,

Mehr

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop 17. September 2013 Prof. Dr. Christian Tschudin Departement Mathematik und Informatik, Universität Basel Uebersicht Ausgewählte

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard)

Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard) Seite 1 Sommersemester 2016 Hazards Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard) x 1 x 0 s U & 1 U 0 s & 1 v ³1 Dt 2Dt 2Dt y t1 Eingang schaltet s=0 t1 + Δt s schaltet

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Verteidigungsvortrag zur Studienarbeit Ludger Irsig Fraunhofer IIS/EAS Digitale Kameras allgemein

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, einen Taschenrechner

Mehr