Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und

Größe: px
Ab Seite anzeigen:

Download "Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und"

Transkript

1 ausgewählte Folien zu den Vorlesungsreihen: Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und ASIC s & Design (Studiengang Elektrotechnik und Informationstechnik Dipl. Ing. Thomas Rommel ASIC S & DESIGN Dipl. Ing. Thomas Rommel Fakultät Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Helmholtzbau Zimmer H 3521 Telefon: Praktikumsraum: H

2 Praktikumsanleitungen und Vorlesungsscript ilmenau.de/mne ess/ Fakultät Elektrotechnik und Informationstechnik Institute und Fachgebiete oder Durchklicken: Fachgebiet Elektronische Schaltungen und Systeme Lehre und Studium» Inhalte aller Lehrveranstaltungen ASIC & 6 schnelle Realisierung durch parametrisierbare Module (LPM) Schematic: 15 2

3 Quartus II Web Edition Download Center Quartus II Web Edition (9.0 Service Pack 2) Downloaden Get One Time Access Licensing (Download & Licensing, linke Spalte) findet man genaue Information wie es lizenziert wird Entwurfssoftware Quartus II von Altera Designflow 20 3

4 3. Einführung in die Hardwarebeschreibungssprache AHDL (Teil A) high level, modulare Hardwarebeschreibungssprache komplett in das MAX+PLUS II System integriert besonders gut geeignet zum Entwerfen von komplexer kombinatorischer Logik, State Machines, Wahrheitstabellen und parametrisierbarer Logik hierarchische Projekte beschreibbar 33 AHDL gemischt mit anderen Formaten realisierbar Altera Design File (.adf) EDIF Input File (.edf) Graphic Design File (.gdf) OrCad Design File (.sch) State Machine file (.smf) Text Design File (.tdf) Verilog Design File (.v) VHDL Design File (.vhl) Waveform Design File (.wfm) XILINX Netlist Format File (.xnf) über 300 von Altera mitgelieferte Megafunctions and Macrofunctions incl. LPM (Library of Parameterized Modules) integrierbar 34 4

5 Allgemeines Fortsetzung (Teil A) AHDL ist nicht Case sensitiv es empfiehlt sich aber KEYWORDS, DESIGNNAMES, CONSTANTS und PRIMITIVES groß und alle anderen einschl. filenames, macro und megafunctions klein zu schreiben Liste aller inputs und outputs in der gleichen Zeile! Öffnende und schließende Klammern von Subdesign sections und Parameter Statements immer in einer separaten Zeile (Übersichtlichkeit) Achte auf eine sinnvolle Einrückung! Nutze underscores (_) um "Wörter" in symbolic and simple names zu trennen (reg_load, go_idle). Keywords, Namen und Zahlen müssen durch ein entsprechendes Symbol oder Operator und Leerzeichen getrennt werden. Kommentar muss im Prozentsymbol (%) eingeschlossen sein 35 Constant Define Parameters Function Prototype Title Statement Include Statement Constant Statement Define Statement (Teil A) Optional AHDL Text Design File Structure erforderlich Parameters Statement Function Prototype Stmt. Options Statement Subdesign Section Variable Section Logic Section 36 5

6 Titel Statement TITLE "Display Controller liefert Kommentar für Reportfile (.rpt), Keyword TITLE Anführungszeichen, Semikolon 37 Include Statement INCLUDE "const.inc"; spezifiziert ein Include File, dass die Include Statements ersetzt, Include Files enthalten Constant, Define, Parameters or Functions Statements Keyword INCLUDE Anführungszeichen, Semikolon, Erweiterung kann weggelassen werden, kein Pfadname, vor allen anderen Sections 38 6

7 Constant Statement CONSTANT UPPER_LIMIT = 130; CONSTANT FOO = 1; CONSTANT FOO_PLUS_ONE = FOO + 1; spezifiziert einen Symbolic Name, der Constanten ersetzen kann! Keyword CONSTANT Name Gleichheitszeichen Wert (Zahl oder Ausdruck) Semikolon 39 Define Statement DEFINE MAX(a,b) = (a > b)? a : b; definiert eine ausgewertete Funktion, die eine mathematische Funktion ist und einen Wert zurück gibt Keyword DEFINE Name Liste von Argumenten in () 40 7

8 Parameters Statement PARAMETERS ( FILENAME = "myfile.mif WIDTH, AD_WIDTH = 8, NUMWORDS = 2^AD_WIDTH ); deklariert einen oder mehrere Parameter, die die Implementation der parametrisierbaren megafunctions steuert Keyword PARAMETERS Liste der Parameter durch Komma getrennt und in Semikolon und klammern 41 Function Prototype Statement FUNCTION compare (a[3..0],b[3..0]) RETURNS (less, equal, greater); deklariert die Ports einer Logikfunktion und deren Reihenfolge, in der die Ports in einer In Line Referenz deklariert werden ähnlich wie bei Symbol in einem Schematicfile Keyword FUNCTION Name (Inputs) Keyword RETURNS (Outputs, Biderectional); 42 8

9 Options Statement OPTIONS BIT0 = MSB; setzt die vorgegebene Bitreihenfolge (LSB oder ANY geht noch) 43 Subdesign Section SUBDESIGN top ( foo, bar, clk1, clk2: INPUT = VCC; a0, a1, a2, a3, a4 : OUTPUT; b[7..0] : BIDIR; ) deklariert die Inputs, Outputs und Bidirectionalen Ports einen AHDL TDF Keyword SUBDESIGN Name des Subdesigns Liste der Signalnamen in () und durch Komma getrennt : porttype ; Name des Subdesigns Name des TDF Der port type kann sein INPUT, OUTPUT, BIDIR, MACHINE INPUT, or MACHINE OUTPUT. The MACHINE INPUT and MACHINE OUTPUT keywords werden genutzt um state machines zwischen TDFs and other design files auszutauschen Zuweisung von Default Werten GND und VCC hinter Port möglich 44 9

10 Variable Section VARIABLE Macrofunktion a, b, c : NODE; temp : halfadd; ts_node : TRI_STATE_NODE; deklariert Variablen, die in Logic Section genutzt werden (nur buried internal Signale) Instance Declaration Node Declaration Register Declaration State Machine Declaration Machine Alias Declaration If Generate Statements Variable Type kann sein: Node, TRI_STATE_NOTE, primitive, megafunctions, macrofunction or state machines 45 BEGIN out1 = a1 &!a0; out2 = out1 # b; END; BEGIN ss.clk = clk; ss.reset = reset; CASE ss IS WHEN s0 => q = GND; IF d THEN ss = s1; END IF; WHEN s1 => q = VCC; IF!d THEN ss = s0; END IF; END CASE; END; Logic Section definiert die logischen Operationen des Files Boolean Equations Boolean Control Equations Case Statement Defaults Statement If Then Statement If Generate Statement For Generate Statement Assert Statement Truth Tabel Statement beginnt mit Keyword BEGIN und endet mit END Semikolon 46 10

11 Decimal <series of digits 0 to 9> Default (Teil A) Binary Octal Hexadecimal B"<series of 0's, 1's, X's>" (where X = "don't care") O"<series of digits 0 to 7>" or Q"<series of digits 0 to 7>" X"<series from 0 to 9, A to F>" or H"<series from 0 to 9, A to F>" Beispiele : B"0110X1X10" Q" " H"123AECF" 47 (Teil A) CONSTANT IO_ADDRESS = H 0370 ; CONSTANT foo = DIV 3 + LOG2 (256); (mit arithmetischem Ausdruck) 48 11

12 (Teil A) Mathematische Operatoren Operator Example Description Priority + (unary) ; (unary) +1; 1 positive(negativ) 1 ^ A^2 exponent 1 DIV 4 DIV 2 Division 2 * A *2 Multiplication Addition Subtraktion 3 49 (Teil A) Vergleichsoperatoren Operator Example Description Priority == (numeric) 5 == 5 numeric equality 4 == (string) a == b string equality 4!= 5!= 4 not equal to 4 > ; < 5 > 4 greater (less)than 4 >= ; <= a >= b + 2 greater(less) than or equal

13 (Teil A) Logische Operatoren Operator Example Description Priority & ; AND a & b AND 5!& ; NAND a!& b NAND 5 $ ; XOR a $ b XOR 6!$ ; XNOR a!$ b XNOR 6 # ; OR a #b OR 7!# ; NOR a!# b NOR 7 51 (Teil A) Boolesche Ausdrücke und Gleichungen: SUBDESIGN boole1 ( a0, a1, b : INPUT; out1, out2 : OUTPUT; ) BEGIN out1 =!a1 &!a0; out2 = out1 # b; END; 52 13

14 Deklarierung eines internen Knotens (burried node) SUBDESIGN boole2 ( ) VARIABLE BEGIN END; a0, a1, b : INPUT; out : OUTPUT; a_equals_2 : NODE; a_equals_2 =!a1 &!a0; out = a_equals_2 # b; (Teil A) 53 (Teil A) Defining Group (Bus) SUBDESIGN group1 ( a[1..2] d[1..2] dual_range[5..4][3..2] ) : INPUT; : OUTPUT; : OUTPUT; BEGIN END; d[ ] = a[ ] + B"10"; dual_range[ ][ ] = VCC; 54 14

15 Conditional Logic (IF THEN Statement Logic) (Teil A) SUBDESIGN priority ( low, middle, high : INPUT; highest_level[1..0] : OUTPUT; ) BEGIN END; IF high THEN highest_level[ ] = 3; ELSIF middle THEN highest_level[ ] = 2; ELSIF low THEN highest_level[ ] = 1; ELSE highest_level[ ] = 0; END IF; 55 CASE Statement Logic (Teil A) SUBDESIGN decoder ( code[1..0] out[3..0] ) BEGIN CASE code[] IS : INPUT; : OUTPUT; WHEN 0 => out[ ] = B"0001"; WHEN 1 => out[ ] = B"0010"; WHEN 2 => out[ ] = B"0100"; WHEN 3 => out[ ] = B"1000"; END CASE; END; 56 15

16 Truthtable SUBDESIGN dec2 ( a, code[1..0] : INPUT; control, out[3..0] : OUTPUT; ) BEGIN TABLE a, code[1..0] => out[3..0], control; 0, B"00" => B"0001", 1; 0, B"01" => B"0010", 0; 1, B"0X" => B"0100", 0; X, B"11" => B"0101", 1; END TABLE; END; (Teil A) 57 (Teil A) Sequentielle Logik kann in AHDL implementiert werden durch: * State Machines * registers * latches * LPM (Library of Parameterized Modules) Declaring Register: mögliche Flipflops: DFF, DFFE, TFF, TFFE, JKFF, JKFFE, SRFF, SRFFE, LATCH Wenn Register deklariert ist, dann können Aus- und Eingänge von FF mit anderer Logik verbunden werden. <instance name>.<port name> 58 16

17 Creating Registers: (Teil A) SUBDESIGN bur_reg ( clk, load, d[7..0] q[7..0] ) VARIABLE ff[7..0] : INPUT; : OUTPUT; : DFFE; BEGIN ff[ ].clk = clk; ff[ ].ena ff[ ].d = d[ ]; q[ ] = ff[ ].q; = load; END; 59 (Teil A) Creating Counters: SUBDESIGN count_clr ( clk, clr : INPUT; q[15..0] : OUTPUT; ) VARIABLE count[15..0] BEGIN count[ ].clk count[ ].clrn :DFF; = clk; =!clr; count[ ].d = count[ ].q + 1; q[ ] = count[ ].q; END; 60 17

18 (Teil A) Um einen State Machine in AHDL zu spezifizieren, sind folgende Punkte nötig: * State Machine Declaration (Variable Section) * Boolean control equations (Logic Section) * State transitions in Truth Table Statements or Case Statements (Logic Section) 61 State Machines VARIABLE ss: MACHINE WITH STATES (s0, s1); VARIABLE ss: MACHINE OF BITS ( y1, y0) WITH STATES ( s0 = B 00, s1 = B 01, s2 = B 10, s3 = B 11 ); 62 18

19 SUBDESIGN stepper ( clk, reset : INPUT; ccw, cw : INPUT; phase[3..0] : OUTPUT; ) VARIABLE ss: MACHINE OF BITS (phase[3..0]) WITH STATES ( s0 = B"0001", s1 = B"0010", s2 = B"0100", s3 = B"1000"); BEGIN ss.clk = clk; ss.reset = reset; TABLE ss, ccw, cw => ss; s0, 1, x => s3; s0, x, 1 => s1; s1, 1, x => s0; s1, x, 1 => s2; s2, 1, x => s1; s2, x, 1 => s3; s3, 1, x => s2; s3, x, 1 => s0; END TABLE; END; (Teil A) 63 ASIC & Desigen (Teil B) Übersicht über verschiedene Architekturen, Systematisierung 66 19

20 Gliederung 0 Zielfunktion beim Entwurf 1 Hardware oder Software? 2 Systematisierung 2.1 CMOS-Technologie 2.2 Entwurfsstile 3 Programmierbare Logikbausteine () 3.1 Allgemeine Eigenschaften 3.2 Systematisierungs- und Auswahlkriterien 3.3 Entwurfsablauf (z.b. eines FPGA) 3.4 Programmiertechnologien 3.5 Verbindungsarchitekturen 3.6 Speicher in komplexen 3.7 Beschreibung der verschiedenen Bausteinarchitekturen geringer Dichte Complex Programmable Logic Devices Field Programmable Gate Array FPGA 3.8 Embedded Processor Solutions (Excalibur) 3.9 Analoge 4 Wichtige Adressen und Literaturhinweise Zielfunktion beim Entwurf Das magische Dreieck beim Entwurf integrierter Digitalschaltungen: Taktfrequenz Flächenaufwand zur Realisierung einer Schaltung wird bestimmte Fläche auf Silizium benötigt bestimmte Einflussfaktoren für festverdrahtete und programmierbare Bauelemente synchrone Schaltung benötigt bestimmte Anzahl von Taktzyklen je höher die Taktfrequenz, desto schneller die Schaltung hohe Taktfrequenz = hohe Verlustleistung Verlustleistungsaufnahme Verlustleistungsaufnahme in herkömmlicher CMOS-Realisierung ist proportional zu Anzahl der Gatter und Schalthäufigkeit Annäherung: Anzahl der Register + Taktfrequenz +Prozentsatz an logischen Gattern 68 20

21 1 Hardware oder Software? Algorithmus Mikroprozessor X = A + B Y = C D Z = X * Y strukturierbare Hardware A B C D + - X Y * Z load A load B add store X load C load D sub store Y load X load Y mul store Z weitere Kriterien: Skalierbarkeit Hardwareaufwand Echtzeitfähigkeit Verlustleistungsaufnahme Flexibilität Entwurfsprozess 2 Zyklen 12 Zyklen Räumliche Sequentialisierung - Datenflussorientierung - Parallelisierung (Addition und Subtraktion) - Pipelining (Add/Sub und Mul) Zeitliche Sequentialisierung - Kontrollflussorientierung - Pipelining bei modernen Mikroprozessoren (DSP) auch möglich

22 2.1 CMOS Technologie (Complimentary Metal Oxide Semiconductor) häufigst verwendete Technologie in ASIC und Vorteil: Gate ist isoliert gegenüber Substrat hoher Eingangswiderstand geringer Eingangsstrom geringer Stromverbrauch 72 CMOS Technologie einfache Darstellung eines Feldeffekttransistors (N Channel MOSFET) 73 22

23 Entwurfsstile Gate-Array Cell-Array Full Custom vollständig vorentworfen Master und Macros vorentworfen vorentworfene Zellen kein Vorentwurf vollständig vorgefertigt Master vorgefertigt keine Vorfertigung - durchgängiger Prozess mit allen Masken keine Vorfertigung - durchgängiger Prozess mit allen Masken Design beim Anwender Design beim Anwender Design beim Anwender Design beim Anwender und Hersteller Zuweisung von Funktionselementen auf Zielarchitektur Abbildung auf Bibliothek des Zielsystems Abbildung auf Bibliothek des Zielsystems Entwurf aller geometrischen Strukturen evtl. Place & Route Place & Route Place & Route Place & Route Implementation beim Anwender Implementation bei Anwender und Hersteller Implementation bei Anwender und Hersteller Implementation beim Hersteller Fertigstellung beim Anwender Fertigstellung beim Hersteller Fertigstellung beim Hersteller Fertigstellung beim Hersteller 76 Entwurfsstile Layout aller geometrischen Strukturen optimierte Entwürfe (Geschwindigkeit, Fläche, Verlustleistung usw.) sehr lange Entwurfsdauer (Effizienz) Ausnutzung von Regularitäten viel Erfahrung notwendig Teamarbeit nötig, (Interfacebeschreibung problematisch) Quelle: A.Mäder Chipgröße, Zellenanzahl, Zellengröße, Anschlusslage variabel 77 23

24 Entwurfsstile Makrozellgenerator vorgefertigte Zellen wie Zellen Speicher, aus ALUs Bibliothek oder Datenpfade werden über Layout Generatoren der Standardzellen erzeugt in Full Custom Qualität flexibler Entwurf Makrozellen in Full Custom Qualtität meist in Verbindung mit Makrozellgeneratoren Chipgröße, Zellenanzahl Zellenhöhe Zellengröße Anschlusslage Leiterbahnkanäle variabel variabel fest variabel variabel variabel Quelle: A.Mäder 79 Entwurfsstile Aufbau eines NAND Gatters (CMOS Technologie) und

25 Entwurfsstile vorgefertigte Transistoren Layout durch Verbindungsstruktur (Verdrahtung, Kontakte) intra Zell Verdrahtung aus Zellbibliotheken vorgegebener Master: Komplexität eingeschränkt, keine optimale Auslastung schnelle Verfügbarkeit Chipgröße, Zellenanzahl Zellenhöhe Zellengröße Anschlusslage Leiterbahnkanäle fest fest fest fest fest fest Quelle: A.Mäder 82 Entwurfstile Full Custom Standardbaustein Semi Custom Preis pro Gatter gering gering mittel hoch Performance mittel hoch mittel gering Prozesschritte voll voll Silizium Ausnutzung sehr gut sehr gut gut gering time to market sofort Monate Wochen Tage bis Wochen NRE Kosten sehr hoch hoch gering Entwurfsänderungen sehr aufwendig aufwendig einfach Lieferanten viele einer zumeist einer viele 85 25

26 Entwurfstile 86 3 Programmierbare Logikbausteine () 3.1 Allgemeine Eigenschaften von niedrige bis hohe Komplexität ( Mio. Gatter) Vielfalt enthaltener Baugruppen SRAM Blöcke (64 Mb), Bereitstellung aufwendiger Taktaufbereitung spezielle Blöcke für arithmetische Funktionen zur digitalen Signalverarbeitung, Ankopplung weiterer leistungsfähiger Systemelemente an die I/O Schnittstellen des (gängigen Protokolle für Speicheranbindung, Kommunikationsprotokolle bis in GHz Bereich sehr kurze Entwicklungs und Fertigungszeiten (Stunden bis Wochen) Änderungen sehr leicht möglich Keine NRE Kosten wirtschaftliche Stückzahl: 1000 pro Jahr (!) Schnellere Datenverarbeitung als bei Mikrocontrollern (aber auch teurer) bausteinseitig vollständig getestet (bei RAM basierten Bausteinen) 87 26

27 Technologieentwicklung Strukturgröße Zeit Gate Array Standardzell ASIC in 80er Jahren mussten mit den Produktionstechnologien der letzten oder vorletzten Generation auskommen heute jeweils neueste Technologie (kleinste Strukturen (65nm), modernste Prozesstechnologie) oft sogar zum Einfahren neuer Produktionslinien eingesetzt, wegen sehr regelmäßigen Strukturen und hohen Stückzahlen (ähnlich wie bei RAM) 94 Modernste Prozesstechnik 90 nm Chips nur 55 % der Fläche Virtex II Pro Familie: 130 nm 400 Mio Transistoren 90 nm 1 Mrd. Transistoren 95 27

28 Umsetzung eines FPGA-Chips in ein Hardcopy-ASIC Auf Grund von Strukturanalogien ist eine direkte Übernahme des Designs in ASIC möglich Flash Demo I starten 96 Hardcopy im Vergleich mit ASIC Entwurf 98 28

29 Synthese Unter der Synthese beim Entwurf digitaler Systeme versteht man die Erzeugung einer hardwarenäheren Beschreibung aus einer abstrakten Beschreibung Synthese kann auf verschiedenen Ebenen erfolgen: Die algorithmische Synthese übersetzt eine verhaltensbasierte Beschreibung in die RTL Ebene Die Logiksynthese überführt ein RTL Modell in eine gatterbasierte Beschreibung Die Layoutsynthese überführt eine Gatternetzliste in ein Layout für die Zielarchitektur 101 Entwurfsschritte (Synthese) Funktionelle Simulation Technologieabbildung Wahl einer Zielarchitektur (FPGA, C) RTL Beschreibung unter Einbeziehung von Bibliothekselementen Beschr. auf Logikebene unter Verw. der Grundbausteine Zielarchitektur Ausgabe einer Netzliste Place & Route Konkreter Zielbaustein muss vorliegen Auswahl und Platzierung der Primitivzellen im Zielbaustein Realisierung der Verdrahtung (intern und zu den E/A Pins) Extraktion von realen Zeitparametern aus Geometrie Zeitliche Simulation des Entwurfs nach der Synthese Hardware Test

30 Steuerung der Synthese Optimierung bzgl. Geschwindigkeit zweistufige Logik, möglichst viele parallele Berechnungen, um kurze Laufzeiten zu erreichen Optimierung bzgl. Fläche mehrstufige Logik mit möglichst vielen gemeinsamen Subtermen Weitere Randbedingungen der Synthese werden über bestimmte Eingabeparameter gesteuert, die als Constraints bezeichnet werden 103 Place & Route Layoutsynthese Abbildung der Schaltung auf den konkreten Zielbaustein (d.h. es muss festgelegt werden, welche CLBs eines vorgegebenen Chips nun zur Realisierung benutzt werden und wie die Verdrahtung zu realisieren ist) Es erfolgt zunächst eine Platzierung: beginnend z.b. in der linken oberen Ecke werden den Elementen aus der Netzliste die nächsten freien CLBs zugeordnet und eng gekoppelte Elemente sollten auch auf benachbarte CLBs platziert werden evtl. angegebene Constraints bzgl. Geometrie werden berücksichtigt Anschließend Routing: die benötigten Verbindungen aus der Netzliste werden auf die nächsten freie Kanäle abgebildet (Verbindungen sollen hierbei möglichst kurz sein und mit möglichst wenigen Segmenten auskommen)

31 3.2 Systematisierungs - und Auswahlkriterien Anzahl der Funktionselemente (CLB, LE, PFU usw.) Größe der Funktionselemente Art und Anzahl der Verbindungsleitungen Realisierung der Kombinatorik (Aufbau der Logikblöcke) Programmiertechnologie 105 Beispiel für Granularität bzw. Körnung Programmable Function Unit (PFU) der OR2C-Familie (Lucent Technologies)

32 Systematisierung der globale Architektur: ein klassisches (FE) Aufbau Funktionselement: wenige FE + Verbindungen (bis 100) viele FE (ab 100) Kombinatorik + FF (mit bis zu ca. 25 Eingängen) g Aufbau Verbindung zwischen den FE: keine Realisierung Kombinatorik: PAL, ROM, FPLA Programmiertechnologie: Link:PAL relativ breite Kombinatorik + viele FF (20-50 Eingänge, FF 8 vorwiegend global PAL, PLA EEPROMH relativ schmale Kombinatorik + FF (Inputs < 10, max. 2 FF) vorwiegend local RAM, LUT, MUX SRAM Antifuse: 107 Effektivität von 1. Logikdichte, d. h. Schaltungsfunktionalität pro Flächeneinheit, und damit von: Größe des Bausteins in Gatteräquivalenten und Anzahl der Flip Flops 2. Durchlaufzeiten der Logikblöcke und der Verbindungswege und damit: erreichbare Systemgeschwindigkeit erreichbarer Ausnutzungsgrad 3. Anwenderfreundlichkeit: Wie leicht läßt sich die Anwenderschaltung implementieren? Synthesefreundlichkeit Testbarkeit Geschwindigkeit und Einfachheit der Konfigurierung 4. Stromverbrauch

33 Schwierigkeiten beim Entwurf von (C und FPGA) steigende Integrationsdichte kürzere Lebensdauer der Produkte alle FPGA-Strukturen sind unterschiedlich Schaltungskomplexität muss beherrscht werden kurze Entwicklungszeiten neue Einarbeitungszeit Entwurf möglichst unabhängig von Architektur 109 Sicherung hoher Entwurfsqualität Zehner-Regel: Kosten der Fehlerbeseitigung 1M relative Kosten 100k 10k 1k Entwurfsschritt Spezifikation Simulation Synthese Bitstream Platine System Feld

34 3.4 Programmiertechnologie 112 Fused Links (Teil B) Word Line Pullup Resistor 40-60µm Programmed Connection Transistor Data Bit Line

35 SRAM-Zellen (Teil B) Zwei kreuzweise gekoppelte Inverter A und B als Speicherelement 5 Transistor SRAM Zelle von XILINX 116 Programmierelemente mit Speicherzelle: SRAM-Zellen S = Speicherzelle S S S S S S S S S S Durchgangsgatter Pass Transistor Multiplexer Lookup Table (LUT) LUT als 4x1 RAM 00 0 X 0 1-aus Y = X 0 xor X X Decoder Wired-Or LUT mit 2 Eingängen

36 Vorteile kein Programmiergerät erfoderlich Nachteile schlechter bzw. umständlicher Kopierschutz jede beliebige Funktion aus Eingangssignalen realisierbar Konfigurationsspeicher erforderlich Rekonfigurierung, ISP sorgfältige Planung nach Neustarts notwendig schnelle Entwurfsänderungen möglich empfindlich gegen radioaktive Strahlung 118 Aufbau einer EPROM Zelle V cc Wortleitung G1 G2 Bitleitung Q

37 Wirkungsweise EPROM Zelle Beschreiben einer EPROM Zelle 121 Wirkungsweise EPROM Zelle Lesen einer EPROM Zelle

38 Flash EEPROM FLASH-Zellen können nicht wortweise gelöscht werden, sondern nur in größeren Blöcken NOR-Architektur: alle Speicherzellen sind parallel an die Bitleitung angeschlossen NAND-Architektur: typischerweise 8 oder 16 Speicherzellen in Serie geschaltet notwendige Kontakte zu den Bitleitungen entfallen Zelle ca. 40% kleiner höhere Speicherdichte als bei NOR geringere Kosten, ABER Zeit für Auslesen durch die Serientransistoren deutlich größer als bei NOR 123 EPROM Zelle UV EPROM EEPROM Flash EEPROM Löschen UV Licht elektrisch elektrisch Relative Zellgröße 1 4,2 (zusätzlich Transistoren) 1 extrem dünne Silizium Schicht unter Floating Gate Programmierzeit < 1 ms < 1 ms 200 µs Löschzeit 20 min 1 ms < 1 ms

39 Antifuse Technologie durch Anlegen einer Programmierspannung wird die isolierende Schicht zwischen den beiden Anschlüssen durchgeschmolzen es entsteht eine niederohmige, dauerhafte Verbindung Anwendung: vorwiegend in FPGA Herkömmliche Bipolar Fuses wird mehr in angewendet 127 PLICE Antifuse (programmable low impedance ciruit element) Feldoxid <1 µm Polysilizium Diffusionsgebiet Dielektrikum das trennende Dielektrikum (sehr dünn) wird aufgeschmolzen 3 zusätzliche Herstellungsschritte (im Vergleich zum CMOS-Prozeß) notwendig Programmierzeit mehrere Minuten (A1012 z.b. 18 Minuten)

40 ViaLink Antifuse (Teil B) Verbindung Metall amorphes Silizium Metall SiO 2 sehr geringer Platzbedarf niedriger elektrischer Widerstand kleine Kapazitäten 129 Vergleich der Technologien SRAM FLASH Eprom Plice ViaLink Konfigurierung flüchtig? ja nein nein nein rekonfigurierbar? ja ja nein nein in System programmierbar? ja theoretisch ja nein nein Zellengröße mittel; als groß (sehr)klein sehr klein Array klein Schalttransitoren groß groß On Widerstand 0,6.1 kω 0,6.1 kω 200Ω 20.50Ω Off Kapazität ff ff 5 ff 1 ff zusätzliche Prozessschritte 0 3 bis

41 Logik Designs vor Reverse Engeneering schützen Level I Bausteine gelten als unsicher (SRAM, EPROM) Level II Moderat sicher, da nur von sehr gut ausgebildeten Personen mit teurer Laborausrüstung durchgeführt werden kann. (Antifuse) Level III In hohem Maße sicher, Reverse Engeneering kaum möglich (Bei kaum realisierbar) 134 Verschlüsselung des Datenstoms bei SRAMbasierenden Bausteinen Kleiner nicht flüchtiger Speicher

42 Bewertung der Vor und Nachteile Schutz der Innovation Unterschied zwischen Kopierschutz und Schutz vor genauen Analyse - Anifuse sicherer als SRAM - Programmieren des Security-Fuse Rekonfigurierbarkeit, ISP konfigurierbar rekonfigurierbar parallel rekonfigurierbar dynamisch rekonfigurierbar Kosten und Schaltungsaufwand Testbarkeit und Zuverlässigkeit Anzahl der Programmierelemente Verbindungsarchitekturen Anforderungen an die Verbindungsarchitekturen programmierbarer Logikbauelemente: möglichst viele Leitungen möglichst flexible Programmierbarkeit Verbindungsarchitekturen möglichst geringer Flächenaufwand möglichst geringe Verzögerungszeiten Typen von Verbindungsleitungen: Lokale Verbindungsleitungen Globale Verbindungsleitungen Spezielle Verbindungsleitungen für die Verteilung von Taktsignalen und anderen zeitkritischen Signalen Leitungen die zum Preset oder Reset der Schaltungen genutzt werden Leitungen für Spannungsversorgung der Logikzellen

43 3.6 Speicher in komplexen Register einige Bit Verteilter Speicher einige 10 bit (pro Instanz) Embedded Speicher pro Instanz einige 100 bit bis 10kb pro Bauelement einige 10 bis 10Mb Kriterien: Größe Wortbreite Zugriffszeit zusätzliche Funktionalitäten Externer Speicher Mb Beschreibung der verschiedenen Bausteinarchitekturen geringer Dichte Grundsätzlicher Aufbau: programmierbare Rückkopplung Eingang Eingabe Block Programmierbare UND / ODER - Matrix Ausgabe Block Ausgang Handelsbezeichnungen: PAL, PLA, GAL, E, PLS, FPLA

44 Chiparchitekturen bei niedriger Dichte Realisierung der Kombinatorik durch zweistufige Logik: UND- und ODER-Felder UND Matrix ODER Matrix Normalform RAM, ROM fest programmierbar KDNF PAL programmierbar fest DNF PLA programmierbar programmierbar DNF 141 Implementierbarkeit bei geringer Dichte Anzahl der Register Konfigurationsmöglichkeiten für Register (CLK, S, R usw.) Anzahl Ausgänge Anzahl Eingänge Anzahl Produktterme Hauptaugenmerk bei Untersuchung der Kombinatorik ROM: Anzahl der Eingänge PAL: Anzahl Produktterme pro Eingang PLA: Anzahl Produktterme gesamt

45 Gemeinsamkeiten zwischen C s und FPGA s: (Teil B) Aufbau aus mehreren Blöcken, die untereinander geeignet verbunden werden können Programmierbare Blöcke mit programmierbaren Verbindungsstrukturen flexible Blockstruktur im Vergleich zum niedriger Dichte - bessere Logikzuordnung - flexiblere Makrozellen - bessere Entkopplung interner Ressourcen weitgehende Entkopplung von Logik und E/A-Blöcken (mit Einschränkungen) E/A-Blöcke als Eingang, Ausgang oder bidirektional konfigurierbar; universelle Steuerungsmöglichkeiten für vorhandene Tristate-Buffer Platzierung notwendig Technologie: CMOS Programmiertechnologien: Fused Link, Antifuse, EPROM, SRAM 145 Unterschiede: Eigenschaften C FPGA Aufbau der Logikzellen wenige große Blöcke mit integrierter Logik und E/A Makrozellen PAL Logik (AND/OR Matrix) Eingänge 8 32 Makrozellen pro/bl Ausgänge alle Makrozellen benutzen die gleichen Eingänge große Anzahl relativ kleiner Funktionselemente (feinkörnig) Logik: MUX oder LUT (RAM) 4 13 Eingänge 2 4 Makrozellen 2 4 Ausgänge Verbindungen zentrale globale Verbindungen keine Verdrahtung notwendig dezentrale lokale Verbindungen Verdrahtung notwendig

46 Eigenschaften C FPGA E/A relativ feste Konfiguration der Verbindungsleitungen zwischen Makrozellen und Pins schneller Signalweg von Logikmakrozellen zu Pins keine separaten Ausgangsregister Ring aus frei zuordenbaren E/A Blöcken jede Logikmakrozelle kann mit jedem Pin verbunden werden separate Ausgangsregister vor den Pins Signallaufzeiten homogen konstant relativ kurz vorhersagbar Geschwindigkeit nicht abhängig von Schaltung stark vom konkreten Signalweg abhängig ungleichmäßig auch hohe Werte möglich erst durch Layoutextraktion zu bestimmen Geschwindigkeit abhängig von der Schaltung Komplexität mittel hoch 147 Eigenschaften C FPGA Flexibilität mittel hoch Flächenausnutzung 40% 60% 50% 95% Stromverbrauch hoch bis sehr hoch gering bis mittel Einsatzgebiete Vor /Nachteil bei breiten Eingangsfunktionen einfache Realisierung schneller komplexer statemachines Preis pro Gatter mittel bis hoch schmale Eingangslogik registerintensive Schaltungen Preis pro Gatter gering bis hoch anspruchsvolle Software erforderlich angemessene Verdrahtungsressourcen erforderlich einfacher Umstieg auf Bausteine öherer Komplexität bei Beibehaltung der Leistungsdaten

47 Eigenschaften C FPGA Anzahl Makrozellen/LE Anzahl I/O Pins Komplexität Gatter FF Gatter Mio FF Total RAM Blocks 0 8Kbits 0 68 MBits Taktfrequenzen bis 600 MHz 500 MHz 149 3,3 volt Device Families Family Density Speed I/O Logic Block Macrozellen t PD (ns) F max (MHz) Inputs isplsi 5000VE ispmach4a isplsi 2000VE isplsi 8000V , ,5 volt Device Families isplsi 2000VL volt Device Families ispmach 4A isplsi 2000E Lattice

48 Blockschaltbild des MAX7000 (Altera) (Teil B) 156 Device Macrocell (Teil B)

49 3.7.3 Field Programmable Gate Array FPGA Routing notwendig lokale Verbindungsressourcen über den ganzen Chip (Functional) Logic Block Connection Box wenigstens eine Leitung (Steuerleitungen,CLK) k + Sonderleitung Verbindungstracks Connection Box Switch Box 161 Parameter: 1. w Anzahl der Verbindungstracks 2. A f Größe des Funktionalblocks (Logicblock) A f ~ 2 k + A S 3. F S Flexibilität der Switchbox Anzahl der erreichbaren Leitungen /Switchbox (Maximalwert = 3 w) 4. F c Flexibiltät der Connection Box Anzahl der Tracks (w), die von einem Blockpin aus erreichbar sind (programmierbar z.b. durch Transistor) 5. A p Größe eines Programmierpunktes (Fläche des Transistors oder ähnliches)

50 PROGRAMMIERBARE SCHALTMATRIX 163 gegenseitige Abhängigkeit von Parametern: A F groß (z.b. 2 FF + breite LUT) relativ schlechte Chipauslastung relativ unflexibel (oft gegenüberliegende Pins viel Verdrahtungsaufwand) relativ schnell w klein (da weniger Außenverdrahtung notwendig) A P darf groß sein (z.b. Transistoren RAM-Zelle) typischer Vertreter: XILINX A F klein (höchstens 1 FF) relativ gute Chipauslastung relativ flexibel relativ langsam w groß A P müssen klein sein (z.b. Antifuse [PLICE]) typischer Vertreter: TI / ACTEL

51 Xilinx Product Portfolio Quelle: Xilinx.com

52 Virtex 5 Familie LX30 LX50 LX85 LX110 LX220 LX330 CLB Array Size 80 x x x x x x108 Logic Cells Total Block RAM (kbits) Digital Clock Manager PLL Maximum IO-Pin

53 186 Blockschaltung von Virtex 2,5V FPGA s DLL IOBs DLL VersaRing IOBs VersaRing BRAMs CLBs BRAMs VersaRing IOBs VersaRing DLL IOBs DLL verschiedene IO-Blöcke sind mit unterschiedlichen Spannungspegeln belegbar

54 Spartan 6 Family 203 Xilinx Analog Mixed Signal Quelle: Xilinx.com

55 Intellectual Proberty (IP) Simulation des Coreverhaltens im System Überprüfen der Designfunktionalität und bestimmen der Größe und Geschwindigkeit Generieren eines zeitlich begrenzten Programmierfiles für das Design Programmieren des Bausteines und überprüfen des Designs in Hardware 206 Entwurfswerkzeuge (Teil B) Universelle FPGA-Enturfswerkzeuge - Galileo - Leonardi - MicroSim FPGA Große EDA-Systeme - Cadance (FPGA-Designer) - Mentor Graphics (FPGA-Station + AutoLogicII) - Synopsys (FPGA-Express) Herstellereigene Werkzeuge - Designer Series von Actel - Max+Plus II / Quartus von Altera - Alliance/Foundation von XILINX

56 3.8 Embedded Processor Solutions (Teil B) Traditional Benefits New Benefits Faster Time to Market Programmable Flexibility Low Risk Cost Effective Access to Embedded Processor Cores Higher Integration 213 Altera FPGA Embedded processor Logic Memory Vorteile: kombiniert programmierbare Logik, Speicher und einen Prozessorkern Einchiplösungen von kompletten Systemen [SOPC]

57 (Teil B) ARM- & MIPS-Based Embedded Processors Solutions ARM- & MIPS-Based Embedded Processors Embedded Processor Core Core JTAG/Debug External Devices Serial Port On-Chip RAM ARM/MIPS Embedded Processor Cache External Bus Interface UART Altera Programmable Logic Architecture Nur noch bis Anfang 2008 verfügbar 215 NIOS II Design Flow

58 3.9 Analoge (Teil B) (z. B. der Firma Lattice) Features: mehrere analoge Funktionen realisierbar (OV, Filter usw.) In-System-Programmable analog Circuit keine externen Komponenten notwendig über JTAG Schnittstelle zu konfigurieren über Parallelport PC programmierbar Software unter Windowsoberfläche 228 Analoge s FPAA (Field Programmable Analog Array) An10E40 der Fa. Anadigm Inc

59 CAB des FPAA (Field Programmable Analog Array) An10E Switched Capacitor Technik R äq U I T C f 1 C schalt

60 Bauelementevorrat der Zellen Literaturhinweise (Teil B) Markus Wannemacher: Das FPGA-Kochbuch Bonn, International Thomson Publishing GmbH ISBN Axel Sikora: Programmierbare Logikbauelemente, Architekturen und Anwendungen München, Wien, Carl Hander Verlag ISBN A. Auer: Programmierbare Logik-IC Hüthig Buchverlag Heidelberg ISBN A. Auer: -Handbuch Hüthig Buchverlag Heidelberg ISBN F. Kesel/R. Barthalomä: Entwurf von digitalen Schaltungen und Systemen mit HDLs und FPGAs Oldenbourg Verlag München ISBN Chr. Siemers: Logikbausteine Vogel Buchverlag Würzburg ISBN

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und

Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und ausgewählte Folien zu den Vorlesungsreihen: Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und ASIC s & Design (Studiengang Elektrotechnik und Informationstechnik

Mehr

Achtung! Nur ausgewählte Folien

Achtung! Nur ausgewählte Folien Achtung! Nur ausgewählte Folien Zu den Vorlesungsreihen: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Studiengang Medientechnologie, Studiengang Elektrotechnik und Informationstechnik

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Mikrocontroller - Schnelleinstieg

Mikrocontroller - Schnelleinstieg Mikrocontroller - Schnelleinstieg Am Beispiel des Atmel Mega8 Philipp Fabian Benedikt Maier Mikrocontroller Schnelleinstieg Seite 1 Mikrocontroller - Schnelleinstieg: - Was ist ein Mikrokontroller? - Welche

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Hybride Apps DPR und Android auf dem Xilinx ZYNQ Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Konvergenz der Rechenplattformen Processing System Memory Interfaces 7 Series Programmable

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Martin Borowski, GÖPEL electronic GmbH GÖPEL electronic GmbH 2014 Boundary Scan Testprogrammerstellung

Mehr

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n C5000 High Performance Acquisition System Das C5000 System wurde für Messerfassungs- und Auswertungssystem mit sehr hohem Datenaufkommen konzipiert. Typische Applikationen für das C5000 sind große Prüfstände,

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Version 2.0.2 Deutsch 09.02.2015. In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren.

Version 2.0.2 Deutsch 09.02.2015. In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren. Version 2.0.2 Deutsch 09.02.2015 In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren. Inhaltsverzeichnis... 1 1. Hinweise... 2 2. Konfiguration...

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Achtung! Nur ausgewählte Folien

Achtung! Nur ausgewählte Folien Achtung! Nur ausgewählte Folien Zu der Vorlesungsreihe: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Elektrotechnik und Informationstechnik 10.04.2017 Seite 1 Dipl. Ing. Th. Rommel

Mehr

SDD System Design Document

SDD System Design Document SDD Software Konstruktion WS01/02 Gruppe 4 1. Einleitung Das vorliegende Dokument richtet sich vor allem an die Entwickler, aber auch an den Kunden, der das enstehende System verwenden wird. Es soll einen

Mehr

LINGO: Eine kleine Einführung

LINGO: Eine kleine Einführung LINGO: Eine kleine Einführung Jun.-Prof.Dr. T. Nieberg Lineare und Ganzzahlige Optimierung, WS 2009/10 LINDO/LINGO ist ein Software-Paket, mit dessen Hilfe (ganzzahlige) lineare Programme schnell und einfach

Mehr

Objektorientierte Programmierung

Objektorientierte Programmierung Objektorientierte Programmierung 1 Geschichte Dahl, Nygaard: Simula 67 (Algol 60 + Objektorientierung) Kay et al.: Smalltalk (erste rein-objektorientierte Sprache) Object Pascal, Objective C, C++ (wiederum

Mehr

Cisco Security Monitoring, Analysis & Response System (MARS)

Cisco Security Monitoring, Analysis & Response System (MARS) Cisco Security Monitoring, System Die Produkte des Herstellers Cisco Systems für Security Information Management haben heute die Produktbezeichnung MARS. Das signaturorientierte IDS wurde im Zuge der technischen

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Einführung in die Java- Programmierung

Einführung in die Java- Programmierung Einführung in die Java- Programmierung Dr. Volker Riediger Tassilo Horn riediger horn@uni-koblenz.de WiSe 2012/13 1 Wichtig... Mittags keine Pommes... Praktikum A 230 C 207 (Madeleine + Esma) F 112 F 113

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Datenübernahme easyjob 3.0 zu easyjob 4.0

Datenübernahme easyjob 3.0 zu easyjob 4.0 Datenübernahme easyjob 3.0 zu easyjob 4.0 Einführung...3 Systemanforderung easyjob 4.0...3 Vorgehensweise zur Umstellung zu easyjob 4.0...4 Installation easyjob 4.0 auf dem Server und Arbeitsstationen...4

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

TBE332 Controller. Produktbeschreibung

TBE332 Controller. Produktbeschreibung TBE332 Controller Produktbeschreibung Bei der Entwicklung der TBE332 Steuerung wurde auf die Erfahrung unserer jahrelangen Planung und Realisierung verschiedenster Steuerungs- und Regelungseinrichtungen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Benötigte Hardware: - CBX 800 - BM3x0 Profibus Interface-Modul - Handscanner + Kabel CAB 509/512 1. Das HHR Gerät als RS232

Mehr

Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden!!

Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden!! Es können nur Werte ausgelesen werden, Es kann -NICHT- geschaltet werden Vorhanden auf Geräten der V3 Version ab Patch Level 1008 und V2 Versionen ab Patch Level 1001. Bei Geräte der V2 Versionen werden,

Mehr

Copyright 2014 Delta Software Technology GmbH. All Rights reserved.

Copyright 2014 Delta Software Technology GmbH. All Rights reserved. Karlsruhe, 21. Mai 2014 Softwareentwicklung - Modellgetrieben und trotzdem agil Daniela Schilling Delta Software Technology GmbH The Perfect Way to Better Software Modellgetriebene Entwicklung Garant für

Mehr

MODBUS/TCP und Beckhoff Steuerelemente

MODBUS/TCP und Beckhoff Steuerelemente MODBUS/TCP und Beckhoff Steuerelemente Die 1.7.5 Version wurde zum DOMIQ/Base Modul die Funktion der Bedienung des MOD- BUS/TCP und MODBUS/UDP Protokolls hinzugefügt. Das Base Modul erfüllt die Rolle des

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Funktion Erläuterung Beispiel

Funktion Erläuterung Beispiel WESTFÄLISCHE WILHELMS-UNIVERSITÄT WIRTSCHAFTSWISSENSCHAFTLICHE FAKULTÄT BETRIEBLICHE DATENVERARBEITUNG Folgende Befehle werden typischerweise im Excel-Testat benötigt. Die Beispiele in diesem Dokument

Mehr

Doing Economics with the Computer Sommersemester 2002. Excel Solver 1

Doing Economics with the Computer Sommersemester 2002. Excel Solver 1 Universität Bern Kurt Schmidheiny / Manuel Wälti Doing Economics with the Computer Sommersemester 2002 Excel Solver 1 Mit dem Solver unterstützt Excel eine Funktion, mit der u.a. komplex verschachtelte

Mehr

Konfigurationsanleitung Access Control Lists (ACL) Funkwerk. Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1.0.

Konfigurationsanleitung Access Control Lists (ACL) Funkwerk. Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1.0. Konfigurationsanleitung Access Control Lists (ACL) Funkwerk Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1.0 Seite - 1 - 1. Konfiguration der Access Listen 1.1 Einleitung Im Folgenden

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Switching. Übung 7 Spanning Tree. 7.1 Szenario

Switching. Übung 7 Spanning Tree. 7.1 Szenario Übung 7 Spanning Tree 7.1 Szenario In der folgenden Übung konfigurieren Sie Spanning Tree. An jeweils einem Switch schließen Sie Ihre Rechner über Port 24 an. Beide Switche sind direkt über 2 Patchkabel

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr