Anwenderprogrammierbare

Größe: px
Ab Seite anzeigen:

Download "Anwenderprogrammierbare"

Transkript

1 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1

2 Einteilung der Programmiertechnologien Programmierung (im Sinne einer Konfigurierung): Verbindungsstruktur Funktionsböcke Ein-/Ausgabezellen S. A. Huss / Folie 4-2

3 Einteilung der Programmiertechnologien Statische RAM-Zelle Lesen/Schreiben: TT wird über Wortleitung geöffnet Normalbetrieb: TT gesperrt; steuern das zugehörige Programmierelement Inhalt der Zelle ist flüchtig, d.h. Einschreiben der Konfiguration nach jedem Neustart ('power-up') erforderlich Besonderheit: S. A. Huss / Folie 4-3

4 Einteilung der Programmiertechnologien EPROM-Zelle Schreiben: Einbringen einer Ladung auf G2 mittels einer hohen Programmierspannung (11-21V) zwischen G1 und Drain-Anschluß: Transistor wird dauerhaft ausgeschaltet (Verschiebung der Schwellenspannung) Löschen: durch Bestrahlung mit UV-Licht elektrisch (EEPROM oder flash-eprom) S. A. Huss / Folie 4-4

5 Einteilung der Programmiertechnologien Tabelle 4.1 Besonderheiten: Inhalt der Zelle ist nicht flüchtig Datenerhaltung mehr als 10 Jahre Programmierzyklen möglich S. A. Huss / Folie 4-5

6 Einteilung der Programmiertechnologien Antifuse Technik Funktion : Umgekehrt wie eine Schmelzsicherung Programmierung : Durch einen stromstoß (3 15 ma) Varianten : HL/HL Metall/Metall Mischformen Roff : 1 2 M Ron : Besonderheit: Verbindung ist irreversibel S. A. Huss / Folie 4-6

7 Einteilung der Programmiertechnologien Entwicklungstrends Speicherprogrammierbare werden den Markt beherrschen. S. A. Huss / Folie 4-7

8 Programmable logic device (PLD) Einteilung: kombinatorische DNF-Implementierung sequentielle kombinatorische Teilschaltung Speicherelemente Huffman-Normalform einer FSM Beispiel: Funktionen yo, y1 S. A. Huss / Folie 4-8

9 Programmable logic device (PLD) DNF mit p Eingängen, n Produkttermen, m Ausgängen: konjunktiv verknüpfte Eingangssignale (Produktterme) [ UND-Ebene] werden disjunktiv verknüpft (SOP, 'sum of products') [ ODER-Ebene] Klassifizierung von PLD PROM ODER-Ebene programmierbar PLA, (FPLA) UND-Ebene programmierbar ODER-Ebene PAL UND-Ebene programmierbar LCA ('logic cell array'), FPGA neue, unterschiedliche Architekturen S. A. Huss / Folie 4-9

10 Programmable logic device (PLD) programmable read only memory (PROM) Architektur: vollständig dekodierte, fest verdrahtete UND-Ebene programmierbare ODER-Ebene Abbild der Wahrheitstabelle Beispiel: Funktionen yo, y1 S. A. Huss / Folie 4-10

11 Programmable logic device (PLD) Bewertung: geeignet für Kodierung weniger geeignet für die Implementierung logischer Funktionen aufgrund der vollständigen Dekodierung (p Eingänge: 2p Produktterme): viele Eingänge nicht alle Produktterme erforderlich hohe Redundanz programmable logic array (PLA) Architektur: keine festverdrahtete UND-Ebene Produktterme können mehrfach in der ODER-Matrix verwendet werden S. A. Huss / Folie 4-11

12 Programmable logic device (PLD) Beispiel: Funktionen yo, y1 S. A. Huss / Folie 4-12

13 Programmable logic device (PLD) nmos-realisierung des Beispiels: p0 x2 x2 p1 p2 x0 x0 x1 x2 p3 x0 x1 x 0 x1 x0 x2 x2 x0 x1 x2 Programmierung: CMOS-PLA: EPROM, EEPROM bipolare PLA: Trennung von Schmelzpfaden ('fusible links'), irreversibel Übergabeformat für Programmiergerät: JEDEC-Norm S. A. Huss / Folie 4-13

14 Programmable logic device (PLD) programmable array logic (PAL) Architektur: Prinzipschaltung für sequentielle Logik: S. A. Huss / Folie 4-14

15 Programmable logic device (PLD) Complex PLD (CPLD) Einteilung SPLD ('simple PLD'): PROM, PLA, PAC mit einer Komplexität bis zu 1000 äquivalenten Gattern CPLD ('complex PLD'): PAL-ähnliche Blöcke (LAB) werden mittels einer Schaltmatrix verbunden. Komplexität: mehrere äquivalente Gatter S. A. Huss / Folie 4-15

16 Programmable logic device (PLD) Verzögerungsmodell für PLD: S. A. Huss / Folie 4-16

17 Field programmable gate array (FPGA) Feinkörnigere Architektur im Vergleich zu CPLD Verdrahtung aus Segmenten S. A. Huss / Folie 4-17

18 Field programmable gate array (FPGA) Implementierung kombinatorischer/sequentieller Logik mittels programmierbarer Funktionsblöcke Logikzellen o kombinatorische o sequentielle o gemischte E/A-Zellen Schalter für anwendungsspezifische Verdrahtung (Verbildung von Leitungselementen) globale Verbindungen und dedizierte Taktleitungen Architekturvarianten Sea of Gates Multiplexer Lookup Table S. A. Huss / Folie 4-18

19 Field programmable gate array (FPGA) Multiplexerbasierte Architektur Actel Act-1 1. Schaltkreisarchitektur S. A. Huss / Folie 4-19

20 Field programmable gate array (FPGA) 2. Programmierbare Verbindungen S. A. Huss / Folie 4-20

21 Field programmable gate array (FPGA) 3. Logikzelle (LM: 'logic module') 4. LM-Implementierung eines SR-Latch (negierte Eingänge) Realisierung der Speicherfunktion mittels Rückkopplung S. A. Huss / Folie 4-21

22 Field programmable gate array (FPGA) Actel Act-2, Act-3 S. A. Huss / Folie 4-22

23 Field programmable gate array (FPGA) Verzögerungsmodell: S. A. Huss / Folie 4-23

24 Field programmable gate array (FPGA) Modell der Verbindungsverzögerung: Aus Elmore Ansatz: µ4 (t ) µ4 (t ) R14C1 R24C 2 R34C 3 R44C 4 R1C1 R1 R2 C 2 R1 R2 R3 C 3 wobei: Antifuse: r Leitungssegment: C, (R 0) τ D4 R1 R2 R3 4 RC4 3 RC3 R4 C 4 2 RC2 Anzahl Antifuses: 100K 1M S. A. Huss / Folie 4-24 RC1

25 Field programmable gate array (FPGA) Lookup Table basierte Architektur Xilinx XC Schaltkreisarchitektur S. A. Huss / Folie 4-25

26 Field programmable gate array (FPGA) 2. Programmierbare Verbindungen S. A. Huss / Folie 4-26

27 Field programmable gate array (FPGA) 3. Zellen CLB LUT : : 'configurable logic block' 'lookup table' S. A. Huss / Folie 4-27

28 Field programmable gate array (FPGA) Xilinx XC 3000 S. A. Huss / Folie 4-28

29 Field programmable gate array (FPGA) Verzögerungsmodell: S. A. Huss / Folie 4-29

30 Field programmable gate array (FPGA) Modell der Verbindungsverzögerung: S. A. Huss / Folie 4-30

31 Field programmable gate array (FPGA) S. A. Huss / Folie 4-31

32 Zusammenfassende Bewertung Neue Anwendungsfelder anwenderprogrammierbarer : Prozessoren (ASIP) Custom computing machines (CCM) Rapid prototyping S. A. Huss / Folie 4-32

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

ASIC Application-Specific Integrated Circuit

ASIC Application-Specific Integrated Circuit ASIC Application-Specific Integrated Circuit Technische Informatik Henning Rob Sonntag, 21. Januar 2018 Agenda Einführung Schaltungsentwurf Arten von ASICs 21.01.2018 ASIC - Henning Rob 2 Agenda Einführung

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 9. Vorlesung Klaus Kasper Inhalt Realisierung digitaler Systeme Nutzung isplever Automaten Moore-Automat Mealy-Automat Beispiel Übung Massenspeicher Digitaltechnik 2 2 Realisierung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

D. Programmierbare Logik

D. Programmierbare Logik D. Programmierbare Logik Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare Logikfunktionen,

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder Lösung 2. PROM - Dual-zu-Siebensegmentdecoder Die Ziffern bzw. Buchstaben sollen auf der Siebensegmentanzeige gemäß der Abbildung dargestellt werden: 0 2 3 4 5 6 7 8 9 0 2 3 4 5 Die Ansteuerung der Leuchtsegmente

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

VHDL - Technologische Grundlagen

VHDL - Technologische Grundlagen VHDL - Technologische Grundlagen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 2012 1 / 48 Gliederung Technologien Programmierbare Logikbausteine

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Zweistufenschalter für raue Einsatzbedingungen

Zweistufenschalter für raue Einsatzbedingungen Vorteile Die Zweistufenschalter der wurden entwickelt, um Motoren mit zwei Geschwindigkeitsstufen zu steuern. SIL Safety Integrity Level (SIL) ist eine Sicherheitsanforderungsstufe der Norm IEC 658 für

Mehr

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23.

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. November 2004 1 Gliederung 1. ASIC/FPGA 1.1 ASIC, Vor/Nachteile 1.2 FPGA, Vor/Nachteile

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Kapitel 5: Dynamisches Programmieren Gliederung

Kapitel 5: Dynamisches Programmieren Gliederung Gliederung 1. Grundlagen 2. Zahlentheoretische Algorithmen 3. Sortierverfahren 4. Ausgewählte Datenstrukturen 5. Dynamisches Programmieren 6. Graphalgorithmen 7. String-Matching 8. Kombinatorische Algorithmen

Mehr

DIE SCHRITTE ZUR KORREKTEN LIZENZIERUNG

DIE SCHRITTE ZUR KORREKTEN LIZENZIERUNG Datacenter für Itanium-basierte Systeme Einsatz in virtuellen Umgebungen Für die Lizenzbestimmungen spielt es keine Rolle, welche Art der Virtualisierung genutzt wird: Microsoft Virtual Server, Microsoft

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Von Bits, Bytes und Raid

Von Bits, Bytes und Raid Von Bits, Bytes und Raid Eine Schnuppervorlesung zum Kennenlernen eines Datenspeichers um Bits und Bytes zu unterscheiden um Raid-Festplattensysteme zu verstehen Inhalt Speicherzellen sind elektronische

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle

MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle MOPS PIM Karte bitparallele, wortserielle PCM-Schnittstelle Funktion. Funktion schnelle Datenkopplung zwischen PC und MOPS zur Sollwertvorgabe Übernahme von Daten aus einer externen PCM-Schnitstelle in

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 16. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Inhalt Wiederholung: Gleitkommadarstellung Konstruktion Normalisierte /

Mehr

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1 Zieltechnologien Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1 Überblick Terminologie ASIC-Typen und ihre Charakteristika Programmable Logic Devices: Prinzipien Programmierbare Logikzellen

Mehr

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen.

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen. Kapitel 3 Programmable Logic Array (PLA) Die Idee eines PLA ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird dann durch Konfiguration

Mehr

Pädagogische Hochschule Thurgau. Lehre Weiterbildung Forschung

Pädagogische Hochschule Thurgau. Lehre Weiterbildung Forschung Variante 1 Swisscom-Router direkt ans Netzwerk angeschlossen fixe IP-Adressen (kein DHCP) 1. Aufrufen des «Netz- und Freigabecenters». 2. Doppelklick auf «LAN-Verbindung» 3. Klick auf «Eigenschaften» 4.

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

2. VARIANTEN : 2.3 Konfigurationsbeispiele :

2. VARIANTEN : 2.3 Konfigurationsbeispiele : . VARIANTEN :. Typenbezeichnung : Der SAM-A ist mit 4 oder auch nur teilbestückt mit unabhängigen Verstärkerzügen lieferbar. Dabei bedeutet in der Typenbezeichnung :. Ziffer hinter Schrägstrich = Anzahl

Mehr

Anleitung. Schritt für Schritt: iphone und ipad. Richten Sie Ihr E-Mail-Konto mit Ihrem iphone oder ipad Schritt für Schritt ein.

Anleitung. Schritt für Schritt: iphone und ipad. Richten Sie Ihr E-Mail-Konto mit Ihrem iphone oder ipad Schritt für Schritt ein. Anleitung Schritt für Schritt: iphone und ipad Richten Sie Ihr E-Mail-Konto mit Ihrem iphone oder ipad Schritt für Schritt ein. Inhaltsverzeichnis 1 E-Mail-Konten-Verwaltung... 1 2 E-Mail-Konto hinzufügen...

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme Universal Programmer System Das Programmiersystem für Embedded Systeme - Hohe Programmiergeschwindigkeit - Überspannungsschutz - Schutz gegen fehlerhaftes einlegen des Bauteils - Selbstdiagnose - Breites

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 17. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung ROM Inhalt Realisierung digitaler Systeme Endliche Automaten

Mehr

Normalformen: Sinn und Zweck

Normalformen: Sinn und Zweck Normalformen: Sinn und Zweck Redundanz und Inkonsistenz vermeiden Anomalien vermeiden Verlustlose Zerlegungen finden Abhängigkeiten bewaren NF2 und NF3 behandeln das Verhältnis zwischen Schlüsselund Nichtschlüssel-

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien Zieltechnologien Welcher ASIC-Typ passt zu meinem Design? 1 Überblick Terminologie ASIC-Typen und ihre Charakteristika Programmable Logic Devices: Prinzipien Programmierbare Logikzellen Programmierbare

Mehr

Filemaker Module. Einführung in die Vorteile modularer Filemaker Programmierung. Karsten Risseeuw, Kursiv Software

Filemaker Module. Einführung in die Vorteile modularer Filemaker Programmierung. Karsten Risseeuw, Kursiv Software Einführung in die Vorteile modularer Filemaker Programmierung, Kursiv Software karsten@kursiv.com, www.kursiv-software.com Ansatz zu einer modularen Filemaker Programmierung nach Ideen von Todd Geist.

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen.

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Die auf dem PC geschriebene Texte oder Programme können über dem ClassPad Manager zu ClassPad 300 übertragen werden. Dabei kann

Mehr

System- Realisierung

System- Realisierung 3 Entwurf, Simulation und Synthese von digitalen Strukturen 3.1 Programmierbare Logikschaltungen System- Realisierung Standard- Bauelemente ASIC Application Specific Integrated Circuit Mikroprozessor,

Mehr

8.2.2.3 Übung - Arbeiten mit Android

8.2.2.3 Übung - Arbeiten mit Android 5.0 8.2.2.3 Übung - Arbeiten mit Android Einführung Drucken Sie die Übung aus und führen Sie sie Übungen durch. In dieser Übung werden Sie Apps und Widgets auf dem Home-Bildschirm platzieren und Sie zwischen

Mehr

Super rechnen ohne Superrechner Oder: Was hat das Grid mit Monte Carlo zu tun?

Super rechnen ohne Superrechner Oder: Was hat das Grid mit Monte Carlo zu tun? Super rechnen ohne Superrechner Oder: Was hat das Grid mit Monte Carlo zu tun? Marius Mertens 20.02.2015 Super rechnen ohne Superrechner? Warum? Algorithmik und Parallelisierung Wie? Alternative Architekturen

Mehr

Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung

Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung - 1 - Aufgabe 6 Excel 2013 (Fortgeschrittene) Musterlösung 1. Die Tabelle mit den Werten und Gewichten der Gegenstände, sowie die Spalte mit der Anzahl ist vorgegeben und braucht nur eingegeben zu werden

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

4 Binäres Zahlensystem

4 Binäres Zahlensystem Netzwerktechnik achen, den 08.05.03 Stephan Zielinski Dipl.Ing Elektrotechnik Horbacher Str. 116c 52072 achen Tel.: 0241 / 174173 zielinski@fh-aachen.de zielinski.isdrin.de 4 inäres Zahlensystem 4.1 Codieren

Mehr

Handbuch Programmierung teknaevo APG

Handbuch Programmierung teknaevo APG Handbuch Programmierung teknaevo APG Handbuch Programmierung teknaevo APG Seite 2 Inhalt 1 Vorwort... 4 2 Erklärung der Steuertafel... 5 3 Erste Schritte... 6 3.1 Anbringen des Standfußes... 6 3.2 Erster

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Session Beans & Servlet Integration. Ralf Gitzel ralf_gitzel@hotmail.de

Session Beans & Servlet Integration. Ralf Gitzel ralf_gitzel@hotmail.de s & Servlet Integration Ralf Gitzel ralf_gitzel@hotmail.de 1 Themenübersicht Ralf Gitzel ralf_gitzel@hotmail.de 2 Übersicht Motivation Das Interface Stateful und Stateless s Programmierung einer Stateful

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Automatisches Beantworten von E-Mail- Nachrichten mit einem Exchange Server-Konto

Automatisches Beantworten von E-Mail- Nachrichten mit einem Exchange Server-Konto Automatisches Beantworten von E-Mail- Nachrichten mit einem Exchange Server-Konto Sie können Microsoft Outlook 2010 / Outlook Web App so einrichten, dass Personen, die Ihnen eine E- Mail-Nachricht gesendet

Mehr

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen

Foliensatz. Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Foliensatz Center for Information Services and High Performance Computing (ZIH) Theorie und Einsatz von Verbindungseinrichtungen in parallelen Rechnersystemen Dynamische Verbindungsnetzwerke. Juli Verfügbarkeit

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Kapitalerhöhung - Verbuchung

Kapitalerhöhung - Verbuchung Kapitalerhöhung - Verbuchung Beschreibung Eine Kapitalerhöhung ist eine Erhöhung des Aktienkapitals einer Aktiengesellschaft durch Emission von en Aktien. Es gibt unterschiedliche Formen von Kapitalerhöhung.

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

==============================!" ==

==============================! == Wie kann ich einen beliebigen Port der Firewall für kommende und gehende Verbindungen freischalten? Möchten Sie einen beliebigen Port für kommende und gehende Verbindungen Freischalten, so ist der "Gaming

Mehr

Erforderliche Änderung des Firewire (IEEE1394) Kartentreibers für die Kodak Scanner i600/i700/i1800 unter Microsoft Windows 7

Erforderliche Änderung des Firewire (IEEE1394) Kartentreibers für die Kodak Scanner i600/i700/i1800 unter Microsoft Windows 7 Hintergrund: Microsoft hat die 1394-Bus-Schnittstelle (Firewire) für Windows 7 vollständig umgeschrieben. Microsoft schreibt dazu: "Der 1394-Bus-Treiber wurde für Windows 7 umgeschrieben, um höhere Geschwindigkeiten

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Windows Server 2012 R2 Essentials & Hyper-V

Windows Server 2012 R2 Essentials & Hyper-V erklärt: Windows Server 2012 R2 Essentials & Hyper-V Windows Server 2012 R2 Essentials bietet gegenüber der Vorgängerversion die Möglichkeit, mit den Boardmitteln den Windows Server 2012 R2 Essentials

Mehr

Handbuch Transportsystem mit Drehstromantrieb. Leseprobe. Kurs Nr.: SH5004-7B Version 1.0. Autor: Prof. Dr. N. Becker, Dipl.-Ing. (FH) M.

Handbuch Transportsystem mit Drehstromantrieb. Leseprobe. Kurs Nr.: SH5004-7B Version 1.0. Autor: Prof. Dr. N. Becker, Dipl.-Ing. (FH) M. Handbuch Transportsystem mit Drehstromantrieb Kurs Nr.: SH5004-7B Version 1.0 Autor: Prof. Dr. N. Becker, Dipl.-Ing. (FH) M. Eggeling Lucas-Nülle GmbH Siemensstraße 2 D-50170 Kerpen (Sindorf) Tel.: +49

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Softwareentwicklung aus Sicht des Gehirns

Softwareentwicklung aus Sicht des Gehirns Softwareentwicklung aus Sicht Business Unit Manager Folie 1 3. Juli 2008 Ziele Das Ziel ist die Beantwortung der folgenden Fragen: 1. Wie lösen Softwareentwickler Probleme kognitiv? 2. Welche Auswirkungen

Mehr

neue Horizonte eröffnen.

neue Horizonte eröffnen. Weltweit schnellste Lösung zur Passwort-Wiederherstellung : neue Horizonte eröffnen. Vladimir Katalov Olga Koksharova ElcomSoft Co. Ltd. ElcomSoft ist ührender Experte auf den Gebieten Computer- und Mobile-

Mehr

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen

Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen Kurzanleitung um Transponder mit einem scemtec TT Reader und der Software UniDemo zu lesen QuickStart Guide to read a transponder with a scemtec TT reader and software UniDemo Voraussetzung: - PC mit der

Mehr