Digitaltechnik II SS 2007

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik II SS 2007"

Transkript

1 Digitaltechnik II SS Vorlesung Klaus Kasper

2 Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2

3 Halbleiterspeicher Halbleiterspeicher Festwertspeicher (Nur-Lese-Speicher) Flüchtige Speicher (Lese-Schreib-Speicher) Einmal beschreibbar Mehrfach beschreibbar Dynamisch Statisch ROM EPROM erasable DRAM SRAM PROM programmable EEPROM electrical SDRAM Synchronous Flash ROM DDR-RAM Double Data Rate RDRAM Rambus Digitaltechnik 2 3

4 Statische RAM (SRAM) Für statische RAM werden die Speicherzellen mit Flip-Flops realisiert. Solange die Versorgungsspannung anliegt, bleibt die Information im SRAM erhalten. Sehr kurze Schreib- und Lesezeiten. Relativ große Fläche zur Realisierung einer Speicherzelle. Es werden 6 Transistoren für die Realisierung eines Flip-Flop benötigt. SRAM werden häufig für die Realisierung von Cache eingesetzt. Digitaltechnik 2 4

5 Dynamische RAM (DRAM) Elementares Speicherelement ist eine Kapazität. Prinzip wurde 966 von IBM entwickelt. Erstes Produkt 97 von Intel ( kbit). Sehr hohe Speicherdichte (ca.-fach im Vergleich zu SRAM). Beim Schreiben wird ein adäquater Spannungspegel an der Kapazität realisiert ( entspricht einer geladenen und einer entladenen Kapazität). Beim Lesen wird der Pegel abgefragt. Digitaltechnik 2 5

6 DRAM (Forts.) Beim Lesen einer Zelle wird die gespeicherte Information zerstört, muss also anschließend wieder eingeschrieben werden. In den Schaltungen existieren ständig Leckströme. Auch sehr kleine Leckströme führen zu einem Verlust der Information, da die Kapazitäten sehr klein sind (. pf). Zur Erhaltung der Information muss diese in regelmäßigen Abständen (ca. 2 6ms) Zeilenweise ausgelesen und direkt wieder geschrieben werden (Refresh). Während des Refresh kann auf den Inhalt des DRAM nicht zugegriffen werden. Digitaltechnik 2 6

7 Prinzip SRAM Digitaltechnik 2 7

8 Architektur SRAM Digitaltechnik 2 8

9 Aufbau eines RAM A: Adresseingänge, CS: Chip Select, WE: Write Enable D out : Datenausgang, D in : Dateneingang Digitaltechnik 2 9

10 Symbolische Darstellung eines RAM Digitaltechnik 2

11 DRAM Typen SDRAM (Synchronous Dynamic RAM) wird mit einem Taktgeber synchronisiert, der vom CPU-Takt abgeleitet ist. Alle Schreib- und Lesevorgänge werden von der steigenden Flanke dieses Taktes ausgelöst. DDR-SDRAM (Double Data Rate) ist eine Variante des SDRAM mit doppelter Datenübertragungsrate. Die Daten werden mit der steigenden und der fallenden Taktflanke gelesen oder geschrieben. Die angeforderten oder zu speichernden Daten müssen immer mindestens der doppelten Busbreite entsprechen (2-fach Prefetch). RDRAM ist eine spezielle Entwicklung der Firma Rambus. Daten werden aus vielen Bänken parallel gelesen und dann über einen Multiplexer auf den Datenausgang schaltet. Z.B. können aus 8 Bänken gleichzeitig 8 Byte gelesen werden. Das entspricht dann der 8-fachen internen Lesegeschwindigkeit. Digitaltechnik 2

12 DDR2-RAM Double Data Rate Verfahren wird weiter genutzt verringerte Betriebsspannung:,8 V (2,5V) nicht Pin-kompatibel: 24 (84) Pins intern wird mit verringerter Taktfrequenz gearbeitet (prefetch 4-fach, bzw. 8-fach bei DDR3) interne Datenbreite wurde erweitert 27: DDR3 (GDDR3 schon verfügbar) höhere Datentübertragungsraten günstigere Produktion Digitaltechnik 2 2

13 Neue nichtflüchtige Speicher MRAM (Magnetoresestive RAM): Speicherung erfolgt über zwei Magnetplättchen, aktuell aussichtsreichster Kandidat für die Nachfolge DRAMs F(e)RAM (Ferro Electric): ferroelektrisches Prinzip, Information wird mit Kondensatoren gespeichert Digitaltechnik 2 3

14 Festwertspeicher (ROM) Auf einen typischen ROM wird während des Betriebs ausschließlich lesend zugegriffen. Die Programmierung (Schreiben) eines ROM ist deutlich aufwändiger als der Lesezugriff. Der Aufbau eines ROM entspricht hinsichtlich der Matrixanordnung der Speicherzellen und der Adressverwaltung dem Aufbau eines RAM. Digitaltechnik 2 4

15 Maskenprogrammierte ROM Für große Stückzahlen werden bei der Herstellung der Speicher die Information fest eingegeben. Die zu speichernde Information wird mittels einer Metallisierungsmaske eingebracht. Beispiel: Haushaltsgeräte Digitaltechnik 2 5

16 Programmierbare ROM (PROM) Mit speziellen Programmiergeräten können PROM vom Anwender programmiert werden. Die Programmierung erfolgt durch die Herstellung von Verbindungen (Anti- Fuse) oder durch die Trennung von Verbindungen (Fuse). Die Programmierung ist irreversibel. Digitaltechnik 2 6

17 UV-löschbares PROM (EPROM) EPROMS können mit speziellen Geräten programmiert und gelöscht werden. Für die Programmierung wird eine Spannung von ca. 2 Volt benötigt. Bei der Programmierung werden mit Hilfe des Avalanche-Effektes (Lawineneffektes) elektrische Ladungen injiziert. Für die Löschung wird entsprechend energiereiches Licht benötigt. Die Löschung dauert einige Minuten. Der Baustein muss i.a. zur Löschung aus der Schaltung entfernt werden. Digitaltechnik 2 7

18 Elektrisch lösch- und programmierbare ROM (EEPROM) Der Baustein kann für den Löschvorgang in der Schaltung verbleiben. Für den Löschvorgang wird eine Spannung von ca. 2 Volt benötigt. Für Programmierung und Löschung wird der Tunneleffekt ausgenutzt. Jedem Schreibvorgang wird ein Löschvorgang vorgeschaltet. Der Schreibvorgang für ein Byte benötigt ca. ms. Anzahl von Lösch-/Schreibzyklen: ca. Speicherdauer: mindestens Jahre Digitaltechnik 2 8

19 Floating-Gate-Technologie für EEPROM-Speichertransistor Digitaltechnik 2 9

20 Flash-Speicher Weiterentwicklung der EEPROMs. Im Fall des Flash-Speichers wir im Unterschied zu EEPROMS der Speicher blockweise (!) gelöscht. In ersten Realisierungen wurde der Speicher mit einem Flash (Blitz) vollständig gelöscht. Ein Block umfasst ca.,5 KB 28 KB. Der direkte Zugriff auf einzelne Bytes ist prinzipiell nicht möglich. Dies entspricht dem Prinzip von Massenspeichern, die eine typische Blockgröße von 52 Byte haben. Moderne Typen kommen mit 5 Volt als Programmier- und Löschspannung aus. Digitaltechnik 2 2

21 Moderne Flash-Speicher Lesen/Schreiben Lesen/Schreiben Vorstellung Kapazität (nominell) (gemessen) Memory Stick Pro 2/2 MB/s,4/, MB/s 23 GB (4 GB) CompactFlash (CF) 8/8 MB/s 2,5/2,5 MB/s 994 GB (8 GB) SecureDigital Card (SD) 2,5/2,5 MB/s 7/3 MB/s 2 GB (8 GB) USB-2.-Stick 6/6 MB/s 6/5 MB/s 2 GB (8 GB) MultimediaCard (MMC) 2,5/2,5 MB/s,6/,6 MB/s 997 GB (4 GB) Quelle: c t /24 Digitaltechnik 2 2

22 Fazit Eigenschaften SRAM DRAM EE- PROM FLASH FRAM/ MRAM Nichtflüchtig nein nein ja ja ja kleine Zellenmaße nein ja nein ja ja Wortweise les-/schreibbar ja ja ja nein ja geringer Leistungsbedarf ja ja nein nein ja schneller Schreibzugriff ja ja nein nein ja 5 Schreibzyklen ja ja nein nein ja Kostengünstig nein ja nein ja ja Digitaltechnik 2 22

23 Realisierung digitaler Systeme Digitaltechnik 2 23

24 Realisierung digitaler Lösungen Full Custom IC ASIC (Application Specific Integrated Circuit) Bausteine mit programmierbarer Logik Digitaltechnik 2 24

25 Full Custom IC (Integrated Circuit) individuelle Entwicklung eines digitalen Systems lange Entwicklungszeiten sehr große Stückzahlen individuelle Fertigung geringer Stückpreis Digitaltechnik 2 25

26 Application Specific Integrated Circuit (ASIC) Hersteller stellt umfangreiche Bibliotheken für Funktionen verkürzte Entwicklungszeiten Schaltung wird mit einer Hardware- Beschreibungssprache beschrieben Hersteller realisiert Schaltung auf der Basis eines adäquaten ASIC große Stückzahlen günstiger Stückpreis Digitaltechnik 2 26

27 Programmierbare Logik Hersteller bieten programmierbare Logik-Bausteine an Lösung wird vom Anwender entwickelt hohe Flexibilität kleine Stückzahlen hoher Stückpreis Digitaltechnik 2 27

28 Programmierbare Bausteine PLD (Programmable Logic Device) programmierbare Logikelemente (seit Mitte der 7er) PLD stellen eine logische Grundstruktur zur Verfügung, die vom Entwickler nach Bedarf konfiguriert (programmiert) werden kann. Für hoch integrierte PLD stehen Beschreibungssprachen zur Verfügung. Digitaltechnik 2 28

29 Verfahren zur Programmierung PROM (Programmable Read Only Memory)- Prinzip: Durchbrennen einer Sicherung (Fuse) oder Entfernen einer Isolierung (Antifuse), Programmierung ist irreversibel EPROM (Erasable PROM)-Prinzip: Programmierung kann durch Bestrahlung mit UV-Licht wieder gelöscht werden EEPROM (Electrical Erasable PROM)- Prinzip: Programmierung kann durch elektrische Impulse wieder gelöscht werden Digitaltechnik 2 29

30 PAL (Programmable Array Logic) Realisierung logischer Gleichungen in disjunktiver Form. Alle Eingangsgrößen werden in negierter und nicht-negierter Form zur Verfügung gestellt. Programmierbares UND-Feld das mit den Eingangsgrößen verbunden ist. Fest verdrahtetes ODER-Feld. Digitaltechnik 2 3

31 Prinzip PAL Frei programmierbare UND-GATTER Fest verschaltete ODER-Gatter Digitaltechnik 2 3

32 Beispiel PAL Y = ( X2 X3) ( X X2 X3) X Y2 = ( X X2 X3) ( X X2 X3) ( X X2) Digitaltechnik 2 32

33 Digitaltechnik 2 33 PLA Struktur Y 2 Y A B C D Die mit der Wahrheitstabelle definierten Booleschen Funktionen sollen mit der unten dargestellten PLA-Struktur realisiert werden.

34 PLA Struktur Y A Y 2 A * * B * * * D B * * * D C C Digitaltechnik 2 34

35 PLA Struktur Y = ( A C D) ( A B D) ( A B C) Y = ( A B C) ( A B D) ( A B C) ( A C D) 2 Digitaltechnik 2 35

36 Typisierung PAL (Programmable Array Logic): Programmierbare UND-Matrix, feste Oder- Matrix, von einem Hersteller auch als GAL (Generic Array Logic) bezeichnet PLE (Programmable Logic Element): Programmierbare Oder-Matrix, feste Und-Matrix PLA (Programmable Logic Array): Programmierbare UND-Matrix und programmierbare ODER-Matrix Digitaltechnik 2 36

37 Erweiterung Einfache PAL Elemente haben mindestens 8 Ein- und Ausgänge Moderne PAL Bausteine verfügen über komplexe, programmierbare Makrozellen die Ausgänge verfügen über Register die Ausgänge können zurück gekoppelt werden Digitaltechnik 2 37

38 CPLD (Complex Programmable Logic Device) komplexe PLDs mit einer Block-Struktur jeder Block entspricht einem einfachen PAL die Blöcke werden über eine programmierbare Schaltmatrix miteinander verbunden ein einzelner Block enthält typischerweise ca. 5 Eingänge und -2 Ausgänge jeder Ausgang kann aus -5 Produkttermen gebildet werden Digitaltechnik 2 38

39 FPGA (Field Programmable Gate Array) frei programmierbarer Logikschaltkreis aus einzelnen Logikblöcken (CLBs Configurable Logic Blocks) aufgebaut in den einzelnen Blöcken werden einfache Operationen und auch Flip-Flop-Logik zur Verfügung gestellt teilweise werden FPGAs ausschließlich über Look-Up Tabellen realisiert hohe Kompexität Selbstkonfigurierende Systeme werden möglich Digitaltechnik 2 39

40 CPLD vs. FPGA Kurze Wege CPLD Wenige Logikblöcke mit großer Anzahl an Makrozellen Platzierung und Routing fest vorgegeben Schaltzeiten einfach vorhersagbar Hohe Taktfrequenzen unabhängig von der konkreten Schaltung Lange Wege FPGA Viele Logikblöcke mit kombinatorischer Logik Platzierung und Routing variabel Schaltzeiten sind von der Größe des Designs sowie Platzierung und Routing abhängig Taktfrequenz ist von der Größe der Schaltung abhängig Kleine und mittelgroße Schaltungen Für sehr komplexe Schaltungen geeignet Digitaltechnik 2 4

41 Programmierung (CPLD, FPGA) Beispiel: isplever Digitaltechnik 2 4

42 Programmierung ISP (In System Programming) HDL (Hardware Description Language) VHDL (VHSIC HDL) VHSIC (Very High Speed Integrated Circuit) Abel (Advanced Boolean Expression/Equation Language) Abel wurde in den 8er Jahren entwickelt und ist für kleinere Schaltungen hinreichend. VHDL und Verilog sind die weltweit am meisten genutzten Hardware-Beschreibungssprachen und sind beide von IEEE standardisiert. Digitaltechnik 2 42

43 Automaten Ein endlicher Automat ist ein Modell, das zur Modellierung diverser Problemstellungen verwendet werden kann. Ursprung: Biologie (McCulloch, Pitts 943), Elektrotechnik (Mealy, 955), Linguistik (Chomsky, 956). Digitaltechnik 2 43

44 Wolf, Kohl, Ziege Ein Hirte will einen Fluss mit einem Wolf einer Ziege und einem Kohlkopf überqueren. Es gibt eine Fähre. Mit der Fähre kann der Hirte und ein Element (Tier oder Kohlkopf) transportiert werden. Probleme: Ohne Hirte frisst der Wolf die Ziege bzw. die Ziege den Kohlkopf. Lösung? Digitaltechnik 2 44

45 Modellierung Startzustand Z H HKWZ- KW-HZ HKW-Z W K-HWZ K W-HKZ Z Z HKZ-W HWZ-K Endzustand K W -HKWZ Z HZ-KW H Z-HKW Digitaltechnik 2 45

46 Anwendung in der Digitaltechnik Mit endlichen Automaten kann eine abstrakte Beschreibung von Schaltwerken realisiert werden. auch: Finite State Machine (FSM) Zur Darstellung der Modellierung werden häufig Zustandsdiagramme und Zustandsfolgetabellen verwendet. Digitaltechnik 2 46

47 Prinzip Aktuelle Zustand des Automaten wird in einem Speicher (Register) gehalten. Aus dem aktuellen Zustand und den Eingangssignalen wird ein Folgezustand berechnet. Der neue Zustand wird (synchronisiert) in den Speicher geschrieben. Digitaltechnik 2 47

48 Definition Ein endlicher Automat ist ein Fünftupel A=(X,Y,S,f,g). X ist ein endliches nichtleeres Eingabealphabet. Y ist ein endliches nichtleeres Ausgabealphabet. S ist eine endliche nichtleere Menge von Zuständen. f: Zustands(überführungs)funktion g: Ausgabefunktion Digitaltechnik 2 48

49 Moore-Automat Ausgangssignale sind nur vom Zustand abhängig. S = f(s *,X) Y = g(s) Digitaltechnik 2 49

50 Mealy Automat Bei Mealy-Automaten wechselt das Ausgangssignal schon bei der Veränderung des Eingangssignals. S = f(s*,x) Y = g(s,x) Digitaltechnik 2 5

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 17. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung ROM Inhalt Realisierung digitaler Systeme Endliche Automaten

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 7. Vorlesung Klaus Kasper Inhalt Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Digitaltechnik 2 2 Digitaltechnik

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 9. Vorlesung Klaus Kasper Inhalt Realisierung digitaler Systeme Nutzung isplever Automaten Moore-Automat Mealy-Automat Beispiel Übung Massenspeicher Digitaltechnik 2 2 Realisierung

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 16. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Inhalt Wiederholung: Gleitkommadarstellung Konstruktion Normalisierte /

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 14. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Halbleiterspeicher i Statisches RAM Dynamisches RAM Zahlendarstellung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Klassifizierung der Halbleiterspeicher

Klassifizierung der Halbleiterspeicher Klassifizierung der Halbleiterspeicher Halbleiterspeicher nicht flüchtig flüchtig AM nicht löschbar OM POM löschbar EPOM EEPOM statisch AM dynamisch AM abei bedeuten die Abürzungen: OM AM POM EPOM EEPOM

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

ASIC Application-Specific Integrated Circuit

ASIC Application-Specific Integrated Circuit ASIC Application-Specific Integrated Circuit Technische Informatik Henning Rob Sonntag, 21. Januar 2018 Agenda Einführung Schaltungsentwurf Arten von ASICs 21.01.2018 ASIC - Henning Rob 2 Agenda Einführung

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n C5000 High Performance Acquisition System Das C5000 System wurde für Messerfassungs- und Auswertungssystem mit sehr hohem Datenaufkommen konzipiert. Typische Applikationen für das C5000 sind große Prüfstände,

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Elektrizitätslehre und Elektronik. Halbleiterspeicher

Elektrizitätslehre und Elektronik. Halbleiterspeicher 1/5 Halbleiterspeicher Ein Halbleiterspeicher ist ein Datenspeicher, der aus einem Halbleiter besteht, in dem mittels der Halbleitertechnologie integrierte Schaltkreise realisiert werden. Die Daten werden

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Erweiterung von Adressraum und Bit Tiefe

Erweiterung von Adressraum und Bit Tiefe Erweiterung von Adressraum und Bit Tiefe Erweiterung des vorigen Beispiels ist offensichtlich: Vergrößerung des Adressraums (in der Größenordnung 2 n ): Füge eine Adressleitung hinzu und verdoppele die

Mehr

mit SD-Karte SD-Karte Inhalt

mit SD-Karte SD-Karte Inhalt mit mit Kartensteckplatz Der Logger ist optional mit einem Kartensteckplatz für eine micro erhältlich. Die verfügt über ein Vielfaches der Speicherkapazität des internen Logger- Speichers. Inhalt Zeitlicher

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

S TAND N OVEMBE R 2012 HANDBUCH DUDLE.ELK-WUE.DE T E R M I N A B S P R A C H E N I N D E R L A N D E S K I R C H E

S TAND N OVEMBE R 2012 HANDBUCH DUDLE.ELK-WUE.DE T E R M I N A B S P R A C H E N I N D E R L A N D E S K I R C H E S TAND N OVEMBE R 2012 HANDBUCH T E R M I N A B S P R A C H E N I N D E R L A N D E S K I R C H E Herausgeber Referat Informationstechnologie in der Landeskirche und im Oberkirchenrat Evangelischer Oberkirchenrat

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12 Name: Klasse: Xaver Schweitzer 1BHWI Jahr: 2011/12 Ram/Rom/EPRom Abb. 1 Abb. 2 Abb. 3 Ram Rom EPRom 22.09.2011 1 von 10 Inhaltsverzeichnis INHALTSVERZEICHNIS... 2 EINLEITUNG... 3 RAM... 4 SRAM - Static

Mehr

Vergleich von RFID Systemen. EM 410x, 125kHz hitag, 125kHz mifare 13,56 MHz Legic 13,56 MHz. Allgemeine Funktionsweise:

Vergleich von RFID Systemen. EM 410x, 125kHz hitag, 125kHz mifare 13,56 MHz Legic 13,56 MHz. Allgemeine Funktionsweise: Vergleich von n EM 410x, 125kHz hitag, 125kHz mifare 13,56 MHz Legic 13,56 MHz Allgemeine Funktionsweise: Die berührungslosen Datenträger zeichnen sich durch eine sehr bequeme Handhabung und durch eine

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

MSI TECHNOLOGY. RaidXpert AMD. Anleitung zur Installation und Konfiguration MSI

MSI TECHNOLOGY. RaidXpert AMD. Anleitung zur Installation und Konfiguration MSI MSI TECHNOLOGY GMBH RaidXpert AMD Anleitung zur Installation und Konfiguration MSI RaidXpert AMD Inhalt 1.0 Voreinstellungen für ein Raid System im BIOS... 3 2.0 Einstellungen für ein Raid System im Utility...

Mehr

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 -

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 - Matrix42 Use Case - Sicherung und Rücksicherung persönlicher Version 1.0.0 23. September 2015-1 - Inhaltsverzeichnis 1 Einleitung 3 1.1 Beschreibung 3 1.2 Vorbereitung 3 1.3 Ziel 3 2 Use Case 4-2 - 1 Einleitung

Mehr

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme

SSDs und Flash Memory. Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme SSDs und Flash Memory Matthias Müller 16.Juni 2010 Institut für Verteilte Systeme Seite 2 Inhalt Motivation Aufbau und Funktionsweise NAND vs NOR SLC vs MLC Speicherorganisation Vergleich mit konventionellen

Mehr

Von Bits, Bytes und Raid

Von Bits, Bytes und Raid Von Bits, Bytes und Raid Eine Schnuppervorlesung zum Kennenlernen eines Datenspeichers um Bits und Bytes zu unterscheiden um Raid-Festplattensysteme zu verstehen Inhalt Speicherzellen sind elektronische

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule

32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag. Zürcher Fachhochschule 32-Bit Microcontroller based, passive and intelligent UHF RFID Gen2 Tag Inhalt Vorgeschichte Was wurde erreicht Hardware Energy Micro Microcontroller µctag Plattform EPC Gen2 Tag Standard Protokoll-Vorgaben

Mehr

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Ergänzung: RAM und ROM SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Speichern eines Bits versus viele MB Wir wissen wie wir einzelne Bits speichern können (Erinnerung: Latches, Flip Flops) Mehrere

Mehr

Praktikum Mikrocomputertechnik

Praktikum Mikrocomputertechnik TPU (Time Processor Unit) Die TPU ist ein Coprozessor für Timingaufgaben, sie arbeitet parallel zum Hauptprozessor (Host), um zum Beispiel PWM-Signale zu generieren. Die TPU besitzt eine Reihe festprogrammierter

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Bedienungsanleitung Programmiersoftware Save `n carry PLUS. Inhaltsangabe

Bedienungsanleitung Programmiersoftware Save `n carry PLUS. Inhaltsangabe Bedienungsanleitung Programmiersoftware Save `n carry PLUS Mit der Programmiersoftware Save `n carry PLUS lassen sich für die Schaltcomputer SC 08 und SC 88; SC 98 einfach und schnell Schaltprogramme erstellen

Mehr

SZ Digital. Bedienungsanleitung zum Löschen geladener Ausgaben in der SZ Digital -App. Windows 8. Kurz- und Langversion

SZ Digital. Bedienungsanleitung zum Löschen geladener Ausgaben in der SZ Digital -App. Windows 8. Kurz- und Langversion SZ Digital Bedienungsanleitung zum Löschen geladener Ausgaben in der SZ Digital -App Windows 8 Kurz- und Langversion Kurzversion Löschen geladener Ausgaben aus der SZ Digital -App Um die Leistungsfähigkeit

Mehr

Fachbericht zum Thema: Anforderungen an ein Datenbanksystem

Fachbericht zum Thema: Anforderungen an ein Datenbanksystem Fachbericht zum Thema: Anforderungen an ein Datenbanksystem von André Franken 1 Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 2 Einführung 2 2.1 Gründe für den Einsatz von DB-Systemen 2 2.2 Definition: Datenbank

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Local Control Network

Local Control Network Netzspannungsüberwachung (Stromausfallerkennung) Die Aufgabe Nach einem Stromausfall soll der Status von Aktoren oder Funktionen wieder so hergestellt werden, wie er vor dem Stromausfall war. Die Netzspannungsüberwachung

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

2. Halbleiterspeicher

2. Halbleiterspeicher 2. Halbleiterspeicher Speicher mit wahlfreiem Zugriff (Random Access Memory): Zu jeder Speicherstelle kann gleich schnell zugegriffen werden. Matrixförmige Anordnung von 1Bit Speicherzellen, jede Speicherzelle

Mehr

SharePoint Demonstration

SharePoint Demonstration SharePoint Demonstration Was zeigt die Demonstration? Diese Demonstration soll den modernen Zugriff auf Daten und Informationen veranschaulichen und zeigen welche Vorteile sich dadurch in der Zusammenarbeit

Mehr

Moodle-Kurzübersicht Kurse Sichern und Zurücksetzen

Moodle-Kurzübersicht Kurse Sichern und Zurücksetzen Moodle-Kurzübersicht Kurse Sichern und Zurücksetzen elearning.hs-lausitz.de Inhaltsverzeichnis: 1. Kurse Zurücksetzen 2. Kurse Sichern 3. Kurse Wiederherstellen Weitere Hilfe finden Sie unter www.hs-lausitz.de/studium/elearning.html

Mehr