Achtung: Übung Nr. D1. Inhaltsverzeichnis

Größe: px
Ab Seite anzeigen:

Download "Achtung: Übung Nr. D1. Inhaltsverzeichnis"

Transkript

1 Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz L. Ardila Perez, P. Pstner, D. avoiu, B. iebenborn 3. November 7 Übung Nr. D Inhaltsverzeichnis. L-Gatter Gatterschaltungen für die EXO-Funktion Bistabile ippschaltungen aus L-Gattern M-Flipops aus L-Gattern Binärzähler und Untersetzer Digitale Addition und ubtraktion Achtung: Bei der Inbetriebnahme von L-Bausteinen ist zu beachten, dass der Anschluss VCC mit +5 V, der Anschluss GND mit Masse zu verbinden ist! Eingänge sollten immer zwischen diesen zwei Grenzspannungen liegen!. L-Gatter Der chaltzustand eines L-Bausteins lässt sich mit dem Oszilloskop oder der optischen Anzeige nach Abb. darstellen. Der Funktionsgenerator kann mit weniger als Hz betrieben werden. Ist dies zu schnell, wird der Eingangspegel der chaltungen mit einem chalter gewechselt bzw. mit abeln auf VCC oder GND gesteckt. 470 Abbildung : a) Optische Anzeige der chaltwerte von L-Ausgängen und b) das von uns verwendete Zeichen eil : Eingangsstufe Die Arbeitsweise von L-Eingangsstufen lässt sich anhand von Abb. in diskretem Aufbau untersuchen. Dabei ist entbehrlich. Der Basisstrom von 3 ist durch einen chutzwiderstand (3.3 kω) zu begrenzen. Der Eingang wird oen gelassen ( 3 sättigt), an +5 V gelegt ( leitet invers, 3 sättigt) oder an Masse gelegt ( leitet normal, 3 sperrt). Messen ie jeweils die pannungen U B und U y um Auskunft über die jeweiligen Zustände der ransistoren zu bekommen.

2 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 5V 3k3 k U B y 3k3 3 Abbildung : Prinzipschaltung einer L-Eingangsstufe mit diskreten omponenten U Uy /4 N7400 L khz, V V CC Abbildung 3: estaufbau zur Ermittlung der statischen ennlinien von L-Gattern (gestrichelt: Die Verschaltung von Lastwiderständen) eil : Übertragungskennlinien von L-Gattern Die statischen Übertragungskennlinien von L-Gattern werden mit einem Dreiecksgenerator (mit positiven pannungen!) dargestellt, wobei das Oszilloskop im -y-betrieb arbeitet (s.abb. 3). Untersuchen ie zwei Gatter der Bausteine N7400 (NAND), N740 (NO), N7486 (EXO) oder N743 (NAND mit chmitt-rigger). Benutzen ie hierbei Bausteine gleicher Entwicklungsgenerationen (74 oder 74L). Ändern sich die ennlinien, wenn jeweils einem Gattereingang ein zeitlich konstanter chaltwert zugeordnet wird (an Masse, frei oder an +5 V)? esten ie mit verschiedenen Lastwiderständen im Bereich von. kω bis hinunter zu 00 Ω zu Masse bzw. VCC, wann die Ausgangstreiberfähigkeit des Bausteins nicht mehr ausreicht die zuverlässigen chaltwerte von 0.8 V bzw..0 V zu garantieren.. Gatterschaltungen für die EXO-Funktion Die Äquivalenz der chaltungen gemäÿ Abb. 4 kann mit den L-Bausteinen N7400 (NAND, NO), N740 (NO), N7408 (AND) und N743 (O) nachvollzogen werden. ontrollieren ie die Wahrheitstabelle der EXO-Funktion ab. mit allen drei Gatterschaltungen. Das O-Gatter realisiere man im Bedarfsfall aus zwei NO-Gattern: a + b = a + b.

3 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 3 y abelle : Wahrheitstabelle der EXO-Funktion y y y Abbildung 4: Drei Gatterschaltungen für die EXO-Funktion.3 Bistabile ippschaltungen aus L-Gattern eil : Bei der Verizierung der Funktionstabelle der -Flipops in Abb. 5 sind die Eingangs- und Ausgangszustände durch LED's gemäÿ Abb. anzuzeigen. eil : Bei dem Betrieb des D-Flipops in Abb. 6 ist der Eingang D über einen Widerstand wechselweise mit Masse und mit +5 V zu verbinden. Bei welchem maimalen Wert von schaltet das Flipop noch zuverlässig? Mit welcher Gröÿe des L-Gatters hängt dieser Wert von zusammen?.4 M-Flipops aus L-Gattern Bei den M--Flipops in Abb. 7 werden chaltzustände mit LED's angezeigt. Der Versuch, den akteingang manuell zu betreiben (Verbinden und Lösen von mit bzw. von Masse) muss scheitern, da durch ontaktprellen die an und angelegten Zustände unbeobachtbar schnell auf das lave-flipop übertragen werden. Erst das Vorschalten eines D-Flipops gemäÿ Abb. 6 vor den akteingang ermöglicht einen an den LED's ablesbaren Betrieb. eil : Die Funktionstabelle 4 ist zu überprüfen. eil : Unter Hinzunahme zweier AND-Gatter kann das -Flipop zu einem entsprechenden - Flipop erweitert werden (Abb. 8). Verizieren ie Funktionstabelle unverändert verboten abelle : Funktionstabelle des -Flipops

4 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 4 / N740 / N7400 Abbildung 5: -Flipops aus a) NO- und b) NAND-Gattern und die zug. chaltsymbole D n n frei n n abelle 3: Funktionstabelle des D-Flipops D D / N7400 Abbildung 6: D-Flipop mit chaltsymbol n n 0 0 n n verboten abelle 4: Funktionstabelle des M--Flipops n n 0 0 n n n n abelle 5: Funktionstabelle des --Flipops

5 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 5 Master lave 9/4 N7400 Abbildung 7: Negativ taktzustandsgesteuertes M--Flipop mit Diodenanzeige gemäÿ Abb. /4 N7408 9/4 N7400 Abbildung 8: Negativ taktzustandsgesteuertes --Flipop mit Diodenanzeige gemäÿ Abb. Abbildung 9: chaltsymbol für a) M--Flipop und b) --Flipop

6 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) INPU A a INPU B b c d A B C D CL CL CL CL N Binärzähler und Untersetzer Abbildung 0: Ein integrierter 4-Bit-Binärzähler a b c abelle 6: Funktionstabelle des Halbaddierers Der Baustein N7493 in Abb. 0 enthält vier negativ ankengetriggerte M--Flipops mit gemeinsamer ücksetzung CL = 0. Flipop A kann getrennt betrieben werden, während B bis D intern verbunden sind. Die vorbereitenden Eingänge (,) liegen auf. Zum Betrieb des 4-Bit-Binärzählers ist der Funktionsgenerator mit niedriger Frequenz, z.b. Hz zu betreiben. eil : Durch Verbinden der Ausgänge b und d mit 0 bzw. erhält man eine 84- oder BCDkodierte Dekade und damit auch einen :0-Frequenzuntersetzer. Wo kann man diese Frequenz ablesen und mit welchem astgrad, wobei der astgrad deniert ist als das Verhältnis von Impulsdauer τ zu Periodendauer? eil : Wie erhält man :3-, :9- und :-Frequenzuntersetzer und welche astgrade haben diese? eil 3: Wird der Ausgang d mit beiden Eingängen 0 und verbunden, so erhält man an c einen :8-Frequenzuntersetzer. Untersuchen ie mit dem Oszilloskop, bis zu welcher am Frequenzgenerator eingestellten Eingangsfrequenz der Zähler noch zuverlässig funktioniert. Erhöhen ie diese Frequenz dazu um jeweils eine Dekade bis maimal MHz. Bis wohin arbeitet der Zähler noch?.6 Digitale Addition und ubtraktion eil : Beim Aufbau und der Überprüfung der Funktionstabelle 6 des Halbaddierers in Abb. sind ein EXO-Gatter (/4 N7486) und ein AND-Gatter (/4N7408) (s.abb. ) zu verwenden.

7 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 7 HA Abbildung : a) chaltung und b) ymbol des Halbaddierers a b c abelle 7: Funktionstabelle des Volladdierers eil : Der Volladdierer in Abb. berücksichtigt den zusätzlichen Übertrag und besteht aus zwei Halbaddierern. Er lässt sich aber einfacher aus zwei EXO-Gattern (/ N7486) und drei NAND- Gattern (3/4 N7400) nach Abb. 3 aufbauen. eil 3: Ein integrierter 4-Bit-Volladdierer N7483 (Abb. 4) ist in Betrieb zu nehmen und zwei Dualzahlen in natürlicher Darstellung sind zu addieren. eil 4: Bei Betrieb des N7483 als ubtrahiernetz nach Abb. 5a) sind die Eingänge B sowie c 4 durch zusätzliche NO's (z.b. 5/4 N7400) zu invertieren. oll die Dierenz D = A B nicht in der 5-Bit- Darstellung, D (5), sondern in der tandarddarstellung, D (5), erscheinen, so ist die chaltung durch vier EXO-Gatter (N7486) zu ergänzen (eilbild b). Wie erzielt man dann die Anzeigen +0 und -0?

8 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 8 ( + ) HA + HA VA Abbildung : a) Aufbau eines Volladdierers aus zwei Halbaddierern und b) ymbol Abbildung 3: Volladdierer mit Einzelgattern c 4 Σ 4 Σ 3 Σ Σ c 3 c VA VA VA VA c c 0 MB Σ LB C N C 0 A 4 B 4 A 3 B 3 A B A B MB A B LB Abbildung 4: a) der 4-Bit-Volladdierer N7483 und b) symbolische Darstellung

9 Praktikum zur Vorlesung Elektronik für Physiker (Digitalelektronik) 9 sgn D (5) sgn D (5) C 4 N7483 C 0 C 4 N7483 C 0 A B A B Abbildung 5: ubtrahiernetz zur Bildung von D = B A in a) der 5-Bit-Darstellung und b) der tandarddarstellung

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Versuch 3 Bipolar- und Feldeffekttransistoren

Versuch 3 Bipolar- und Feldeffekttransistoren PRAKTIKUM ANALOGELEKTRONIK WS 2010/2011 VERSUCHSANLEITUNG 3 1 Versuch 3 Bipolar- und Feldeffekttransistoren 1. NAND und NOR mit Transistoren Bauen Sie die beiden Gatterschaltungen von Abbildung 1 nacheinander

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Seminararbeit Sommersemester 2017

Seminararbeit Sommersemester 2017 Schaltkreise für die Addition Seminararbeit Sommersemester 2017 Bearbeitet von: Maximilian Breymaier (Matrikelnummer: 57214) Christoph Mantsch (Matrikelnummer: 57266) Betreuer: Prof. Dr. Thomas Thierauf

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Praktikum, Bipolartransistor als Verstärker

Praktikum, Bipolartransistor als Verstärker 18. März 2015 Elektronik 1 Martin Weisenhorn Praktikum, Bipolartransistor als Verstärker Einführung Die Schaltung in Abb. 1 stellt einen Audio Verstärker dar. Damit lassen sich die Signale aus einem Mikrofon

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

AUSWERTUNG: TRANSISTOR- UND OPERATIONSVERSTÄRKER

AUSWERTUNG: TRANSISTOR- UND OPERATIONSVERSTÄRKER AUSWERTUNG: TRANSISTOR- UND OPERATIONSVERSTÄRKER FREYA GNAM, TOBIAS FREY 1. EMITTERSCHALTUNG DES TRANSISTORS 1.1. Aufbau des einstufigen Transistorverstärkers. Wie im Bild 1 der Vorbereitungshilfe wurde

Mehr

Inhalt. Einleitung... 11

Inhalt. Einleitung... 11 Inhalt Einleitung............................... 11 1 Kurze Einführung in die Grundlagen der digitalen Elektronik........................ 12 1.1 Was versteht man unter analog und was unter digital?....

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN Grundlagenlabor Digitaltechnik Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen ITEM GUNDLAGENLABO DIGITALTEHNI VEUH 4 VEUHTHEMA FLIP-FLOP AL

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Michael Walz Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorwort 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Übung Nr. A3. Inhaltsverzeichnis. 3.1 Kenngröÿen von Transistoren und Eintransistorschaltungen (*, 2+n P)

Übung Nr. A3. Inhaltsverzeichnis. 3.1 Kenngröÿen von Transistoren und Eintransistorschaltungen (*, 2+n P) Fakultät für Physik Prof. Dr. M. Weber, Dr. K. abbertz B. An, B. Oldenburg,. Schuh, B. Siebenborn 2. November 206 Übung Nr. A3 Inhaltsverzeichnis 3. Kenngröÿen von ransistoren und Eintransistorschaltungen

Mehr

DIGITAL-ELEKTRONIK 8700

DIGITAL-ELEKTRONIK 8700 Rotes Kunststoffgehäuse mit normgerechtem Symbol beklebt (PVC-Folie). Alle Anschlussstellen sind mit 4 mm-buchsen ausgerüstet. Die Buchsen für die Speisespannung sind zusätzlich zur Beschriftung farbig

Mehr

Laborübung, Diode. U Ri U F

Laborübung, Diode. U Ri U F 8. März 2017 Elektronik 1 Martin Weisenhorn Laborübung, Diode 1 Diodenkennlinie dynamisch messen Die Kennlinie der Diode kann auch direkt am Oszilloskop dargestellt werden. Das Oszilloskop bietet nämlich

Mehr

Laborübung, NPN-Transistor Kennlinien

Laborübung, NPN-Transistor Kennlinien 15. März 2016 Elektronik 1 Martin Weisenhorn Laborübung, NPN-Transistor Kennlinien Einführung In diesem Praktikum soll das Ausgangskennlinienfeld des NPN-Transistors BC337 ausgemessen werden, um später

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Gruppe: 2/19 Versuch: 5 PRAKTIKUM MESSTECHNIK VERSUCH 5. Operationsverstärker. Versuchsdatum: 22.11.2005. Teilnehmer:

Gruppe: 2/19 Versuch: 5 PRAKTIKUM MESSTECHNIK VERSUCH 5. Operationsverstärker. Versuchsdatum: 22.11.2005. Teilnehmer: Gruppe: 2/9 Versuch: 5 PAKTIKM MESSTECHNIK VESCH 5 Operationsverstärker Versuchsdatum: 22..2005 Teilnehmer: . Versuchsvorbereitung Invertierender Verstärker Nichtinvertierender Verstärker Nichtinvertierender

Mehr

Digitale Elektronik, Schaltlogik

Digitale Elektronik, Schaltlogik Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Jens Küchenmeister (1253810) Versuch: P1-64 Digitale Elektronik, Schaltlogik - Vorbereitung - Die Grundlage unserer modernen Welt

Mehr

Betriebsverhalten von digitalen integrierten Schaltungen

Betriebsverhalten von digitalen integrierten Schaltungen Betriebsverhalten von digitalen integrierten Schaltungen Paul Brosovsky, Manuel Janocha, Dennis Winkler 3. Dezember 2009 1 Inhaltsverzeichnis 1 Übergangsverhalten 3 1.1 Versuchsbeschreibung......................................

Mehr

P2-61: Operationsverstärker

P2-61: Operationsverstärker Physikalisches Anfängerpraktikum (P2) P2-61: Operationsverstärker Auswertung Matthias Ernst Matthias Faulhaber Karlsruhe, den 16.12.2009 Durchführung: 09.12.2009 1 Transistor in Emitterschaltung 1.1 Transistorverstärker

Mehr

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008

x x y x y Informatik II Schaltkreise Schaltkreise Schaltkreise Rainer Schrader 3. November 2008 Informatik II Rainer Schrader Zentrum für Angewandte Informatik Köln 3. November 008 1 / 47 / 47 jede Boolesche Funktion lässt mit,, realisieren wir wollen wir uns jetzt in Richtung Elektrotechnik und

Mehr

PROTOKOLL ZUM VERSUCH TRANSISTOR

PROTOKOLL ZUM VERSUCH TRANSISTOR PROTOKOLL ZUM VERSUCH TRANSISTOR CHRISTIAN PELTZ Inhaltsverzeichnis 1. Versuchsbeschreibung 1 1.1. Ziel 1 1.2. Aufgaben 1 2. Versuchsdurchführung 3 2.1. Transistorverstärker (bipolar) 3 2.2. Verstärker

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Kennenlernen der Laborgeräte und des Experimentier-Boards

Kennenlernen der Laborgeräte und des Experimentier-Boards Kennenlernen der Laborgeräte und des Experimentier-Boards 1 Zielstellung des Versuches In diesem Praktikumsversuch werden Sie mit den eingesetzten Laborgeräten vertraut gemacht. Es werden verschiedene

Mehr

ln halt E in leitu ng

ln halt E in leitu ng ln halt E in leitu ng 1 Kurze Einführung in die Grundlagen der digitalen Elektronik 1.1 Was versteht man unter analog und was unter digital? 7.2 Analoge Systeme 1.3 Digitale Systeme I.4 Binäres System

Mehr

Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn

Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn 21. November 2016 Übung Nr. A2 Inhaltsverzeichnis 2.1 Diodenkennlinien.........................................

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Versuch V09: Logische Gatter

Versuch V09: Logische Gatter Versuch V09: Logische Gatter Henri Menke und Jan Trautwein Gruppe Platz k (Betreuer: Boris Bonev) (Datum: 6. Dezember 203) In diesem Versuch sollen Spannungspegel, Eingangsströme und andere elementare

Mehr

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc.

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc. Fachbereich Physik Elektronikpraktikum 10 Flip-Flops und Zähler Stichworte Informieren Sie sich über ankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung Zeichnen

Mehr

P1-63,64,65: Schaltlogik

P1-63,64,65: Schaltlogik Physikalisches Anfängerpraktikum (P1) P1-63,64,65: Schaltlogik Matthias Ernst (Gruppe Mo-24) Karlsruhe, 14.12.2009 Ziel des Versuchs ist ein erster Kontakt mit nichtprogrammierbaren Schaltungen, deren

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Zusammenstellung der in TARGET 3001! simulierten Grundschaltungen

Zusammenstellung der in TARGET 3001! simulierten Grundschaltungen Simulieren mit TARGET 31! Seite 1 von 24 Zusammenstellung der in TARGET 31! simulierten Grundschaltungen Alle simulierten Schaltungen sind als TARGET 31!Schaltungen vorhanden und beginnen mit SIM LED Kennlinie...2

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 4 Julian Bergmann, Dennis Getzkow 3. Juli 2013 Versuch 4 1 Einführung Im Versuch 4 sollte sich mit

Mehr

D.2 Versuchsreihe 2: Spice

D.2 Versuchsreihe 2: Spice .2: Versuchsreihe 2: Spice.2 Versuchsreihe 2: Spice Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In dieser Versuchsreihe soll das Frequenzverhalten von RC-Gliedern

Mehr

Aktiver Bandpass. Inhalt: Einleitung

Aktiver Bandpass. Inhalt: Einleitung Aktiver Bandpass Inhalt: Einleitung Aufgabenstellung Aufbau der Schaltung Aktiver Bandpass Aufnahme des Frequenzgangs von 00 Hz bis 00 KHz Aufnahme deer max. Verstärkung Darstellung der gemessenen Werte

Mehr

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik Humboldt-Universität zu Berlin Institut für Physik 1. Einführung Versuch 8 Digitale Logik Im Unterschied zu analogen oder linearen Schaltungen sind logische Schaltungen zur Übertragung zweier bestimmter

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Schaltlogik Versuch P1-63,64,65

Schaltlogik Versuch P1-63,64,65 Vorbereitung Schaltlogik Versuch 1-63,64,65 Iris onradi Gruppe Mo-02 23. Oktober 2010 In diesem Versuch sollen die Grundlagen der digitalen Elektronik erarbeitet werden. Das der Schaltlogik zugrunde liegende

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 2 Name: Pascal Hahulla Matrikelnr.: 207XXX Thema: Widerstände und Dioden Versuch durchgeführt

Mehr

Schnupperstudium. Einführung. Aufbau einer Audioverstärkerschaltung. Audioverstärker letzte Änderung: 4. Februar 2009. S.

Schnupperstudium. Einführung. Aufbau einer Audioverstärkerschaltung. Audioverstärker letzte Änderung: 4. Februar 2009. S. Schnupperstudium S. Tschirley Audioverstärker letzte Änderung: 4. Februar 2009 Einführung Einer der Schwerpunkte im Studiengang Kommunikationstechnik und Elektronik der TFH Berlin ist die analoge Schaltungstechnik.

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

Inhaltsverzeichnis. 1. Einleitung

Inhaltsverzeichnis. 1. Einleitung Inhaltsverzeichnis 1. Einleitung 1.1 Das Analogoszilloskop - Allgemeines 2. Messungen 2.1 Messung der Laborspannung 24V 2.1.1 Schaltungsaufbau und Inventarliste 2.2.2 Messergebnisse und Interpretation

Mehr

Praktikum Elektronik für Wirtschaftsingenieure

Praktikum Elektronik für Wirtschaftsingenieure Fakultät Elektrotechnik Hochschule für Technik und Wirtschaft Dresden University of Applied Sciences Friedrich-List-Platz 1, 01069 Dresden ~ PF 120701 ~ 01008 Dresden ~ Tel.(0351) 462 2437 ~ Fax (0351)

Mehr

Laborübung, Funktionsgenerator und Oszilloskop

Laborübung, Funktionsgenerator und Oszilloskop 22. Februar 2016 Elektronik 1 Martin Weisenhorn Laborübung, Funktionsgenerator und Oszilloskop 1 Funktionsgenerator In dieser Aufgabe sollen Sie die Bedienung des Funktionsgenerators kennlernen und die

Mehr

Vorbereitung: Schaltlogik

Vorbereitung: Schaltlogik Vorbereitung: Schaltlogik Marcel Köpke (1588978) Gruppe 7 06.01.2012 Inhaltsverzeichnis 1 Bauelemente 5 1.1 AND-Gatter................................... 5 1.2 NOT-Gatter...................................

Mehr

UET-Labor Analogoszilloskop 24.10.2002

UET-Labor Analogoszilloskop 24.10.2002 Inhaltsverzeichnis 1. Einleitung 2. Inventarverzeichnis 3. Messdurchführung 3.1 Messung der Laborspannung 24V 3.2 Messung der Periodendauer 3.3 Messung von Frequenzen mittels Lissajousche Figuren 4. Auswertung

Mehr

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit Übung 1 Achtung: ld(x) = Logarithmus dualis: ld(x) = log(x)/log(2) = ln(x)/ln(2)! Aufgabe 1 Frage: Wie gross ist der Informationsgehalt einer zufällig aus einem Stapel von 52 Bridgekarten gezogenen Spielkarte?

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2012/13 28. November 2012 Rechnertechnologie III Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners // jan.wieners@uni-koeln.de

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen Grundlagenlabor Digitaltechnik ITEM GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA

Mehr

Übung 3: Oszilloskop

Übung 3: Oszilloskop Institut für Elektrische Meßtechnik und Meßsignalverarbeitung Institut für Grundlagen und Theorie der Elektrotechnik Institut für Elektrische Antriebstechnik und Maschinen Grundlagen der Elektrotechnik,

Mehr

Allgemeines zu den Versuchen zur Digitaltechnik

Allgemeines zu den Versuchen zur Digitaltechnik ETE, Praktikum, Versuch 4 Allgemeines zu den Versuchen zur Digitaltechnik 1/8 Ausrüstung Für digitaltechnische Versuche steht an jedem Messplatz ein PHYWE Digitaltrainer zur Verfügung. Dieser ist mit TTL-Bausteinen

Mehr

Versuch V10: Flip-Flops

Versuch V10: Flip-Flops Versuch V: Flip-Flops Henri Menke und an rautwein Gruppe Platz k (Betreuer: Boris Bonev) (Datum: 3. anuar 24) In diesem Versuch werden die Funktionen verschiedenenr digitaler Schaltungen auf Basis von

Mehr

3.Transistor. 1 Bipolartransistor. Christoph Mahnke 27.4.2006. 1.1 Dimensionierung

3.Transistor. 1 Bipolartransistor. Christoph Mahnke 27.4.2006. 1.1 Dimensionierung 1 Bipolartransistor. 1.1 Dimensionierung 3.Transistor Christoph Mahnke 7.4.006 Für den Transistor (Nr.4) stand ein Kennlinienfeld zu Verfügung, auf dem ein Arbeitspunkt gewählt werden sollte. Abbildung

Mehr

A. Ein Kondensator differenziert Spannung

A. Ein Kondensator differenziert Spannung A. Ein Kondensator differenziert Spannung Wir legen eine Wechselspannung an einen Kondensator wie sieht die sich ergebende Stromstärke aus? U ~ ~ Abb 1: Prinzipschaltung Kondensator: Physiklehrbuch S.

Mehr

Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn

Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn Fakultät für Physik Prof. Dr. M. Weber, Dr. K. abbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn 21. November 26 Übung Nr. A4 Inhaltsverzeichnis 4.1 Dierenzverstärker........................................

Mehr

Laborübungen LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE

Laborübungen LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE VERDRAHTUNGSANWEISUNGEN Alle ICs werden mit +5V (V CC ) und 0V (GND) versorgt. Dazu ist jeweils der fixe 5V-Teil des Netzgerätes zu verwenden.

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

Frequenzselektive Messungen

Frequenzselektive Messungen Mathias Arbeiter 31. Mai 2006 Betreuer: Herr Bojarski Frequenzselektive Messungen Aktive Filter und PEG Inhaltsverzeichnis 1 Aktive Filter 3 1.1 Tiefpass.............................................. 3

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, einen Taschenrechner

Mehr

Grundlagen der Digitalen Elektronik

Grundlagen der Digitalen Elektronik Kapitel 1 Grundlagen der Digitalen Elektronik 1.1 Logische Grundverknüpfungen bei historischer Logik Am Beispiel einiger logischer Grundschaltungen lassen sich die logischen Grundverknüpfungen einfach

Mehr

Elektronik Praktikum Operationsverstärker 2 (OV2)

Elektronik Praktikum Operationsverstärker 2 (OV2) Elektronik Praktikum Operationsverstärker 2 (OV2) Datum: -.-.2008 Betreuer: P. Eckstein Gruppe: Praktikanten: Versuchsziele Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Schaltung eines OPV als invertierenden

Mehr

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses)

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses) 51 Frequenzgang eines RC-Tiepasses (RC-Hochpasses) EBll-2 Augabe In dieser Übung soll ein RC-Tiepaß bzw. wahlweise eln RC- Hochpaß mit R = 10 kq und C = 22 nf augebaut und Deßtechnisch untersucht werden.

Mehr

Versuch 6 Oszilloskop und Funktionsgenerator Seite 1. û heißt Scheitelwert oder Amplitude, w = 2pf heißt Kreisfrequenz und hat die Einheit 1/s.

Versuch 6 Oszilloskop und Funktionsgenerator Seite 1. û heißt Scheitelwert oder Amplitude, w = 2pf heißt Kreisfrequenz und hat die Einheit 1/s. Versuch 6 Oszilloskop und Funktionsgenerator Seite 1 Versuch 6: Oszilloskop und Funktionsgenerator Zweck des Versuchs: Umgang mit Oszilloskop und Funktionsgenerator; Einführung in Zusammenhänge Ausstattung

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

352 - Halbleiterdiode

352 - Halbleiterdiode 352 - Halbleiterdiode 1. Aufgaben 1.1 Nehmen Sie die Kennlinie einer Si- und einer Ge-Halbleiterdiode auf. 1.2 Untersuchen Sie die Gleichrichtungswirkung einer Si-Halbleiterdiode. 1.3 Glätten Sie die Spannung

Mehr

Übung Nr. A1. Inhaltsverzeichnis. Vorbemerkung. 1.1 Wechselstromverhalten von R, C oder L (S) 27. Oktober 2016

Übung Nr. A1. Inhaltsverzeichnis. Vorbemerkung. 1.1 Wechselstromverhalten von R, C oder L (S) 27. Oktober 2016 Fakultät für Physik Prof. Dr. M. Weber, Dr. K. abbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn 27. Oktober 206 Übung Nr. A Inhaltsverzeichnis. Wechselstromverhalten von, C oder L (S)...........................2

Mehr

7.1 Aktive Filterung von elektronischem Rauschen (*,2P)

7.1 Aktive Filterung von elektronischem Rauschen (*,2P) Fakultät für Physik Prof. Dr. M. Weber, Dr. K. abbertz B. Siebenborn, P. Jung, P. Skwierawski,. Thiele 17. Dezember 01 Übung Nr. 7 Inhaltsverzeichnis 7.1 Aktive Filterung von elektronischem auschen (*,P)....................

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 1. Schaltnetze. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 1. Schaltnetze. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T Schaltnetze Name:... Bogen erfolgreich bearbeitet:... Scheinkriterien

Mehr

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik /

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik / / Mikrocomputertechnik Eingabetastatur Hexadezimal Schalter Addierer 7Segment Anzeige 47 / 13 V.03 Technische Änderungen vorbehalten!.universelles Demonstrationssystem für Grundlagen der / Mikrocomputertechnik.Anzeigen

Mehr

Praktikum Elektronik 1. 1. Versuch: Oszilloskop, Einführung in die Meßpraxis

Praktikum Elektronik 1. 1. Versuch: Oszilloskop, Einführung in die Meßpraxis Praktikum Elektronik 1 1. Versuch: Oszilloskop, Einführung in die Meßpraxis Versuchsdatum: 0. 04. 00 Allgemeines: Empfindlichkeit: gibt an, welche Spannungsänderung am Y- bzw. X-Eingang notwendig ist,

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Protokoll zum Versuch OV II im Elektronikpraktikum

Protokoll zum Versuch OV II im Elektronikpraktikum Protokoll zum Versuch OV II im Elektronikpraktikum Datum, Ort: Freitag, ---; PHY/D2 Praktikanten: --- Gruppe: --- Betreuer: Hr. Dr. Eckstein Aufgabenstellung. Schaltung des OPV als invertierender Addierverstärker

Mehr

Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk

Versuch P1-63, 64, 65. Schaltlogik VORBEREITUNG. Stefanie Falk Versuch P1-63, 64, 65 Schaltlogik VORBEREITUNG Stefanie Falk 25.11.2006 - 1 - SCHALTLOGIK Die Schaltlogik, die Grundlage der modernen Datenverarbeitung ist, soll an Hand dieses Versuchs kennen gelernt

Mehr

Das Oszilloskop. TFH Berlin Messtechnik Labor Seite 1 von 5. Datum: 05.01.04. von 8.00h bis 11.30 Uhr. Prof. Dr.-Ing.

Das Oszilloskop. TFH Berlin Messtechnik Labor Seite 1 von 5. Datum: 05.01.04. von 8.00h bis 11.30 Uhr. Prof. Dr.-Ing. TFH Berlin Messtechnik Labor Seite 1 von 5 Das Oszilloskop Ort: TFH Berlin Datum: 05.01.04 Uhrzeit: Dozent: Arbeitsgruppe: von 8.00h bis 11.30 Uhr Prof. Dr.-Ing. Klaus Metzger Mirko Grimberg, Udo Frethke,

Mehr

Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8

Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8 Studiengang Maschinenbau, Schwerpunkt Mechatronik (früher: Automatisierungstechnik) Seite 1 von 8 Hochschule München Fakultät 03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Viel Erfolg!! Komponenten

Mehr

Der Bipolar-Transistor und die Emitterschaltung Gruppe B412

Der Bipolar-Transistor und die Emitterschaltung Gruppe B412 TECHNISCHE UNIVERSITÄT MÜNCHEN Der Bipolar-Transistor und die Emitterschaltung Gruppe B412 Patrick Christ und Daniel Biedermann 16.10.2009 1. INHALTSVERZEICHNIS 1. INHALTSVERZEICHNIS... 2 2. AUFGABE 1...

Mehr

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler Inhalt Boolesche Algebra... 13 Mengenalgebra... 14 Festlegung und Darstellung von Mengen...15 Relationen zwischen Mengen...16 Gleichmächtige oder äquivalente Mengen... 17 Verknüpfungen von Mengen...19

Mehr

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung Oszilloskope Oszilloskope sind für den Elektroniker die wichtigsten und am vielseitigsten einsetzbaren Meßgeräte. Ihr besonderer Vorteil gegenüber anderen üblichen Meßgeräten liegt darin, daß der zeitliche

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Praktikum. Schaltungstechnik 1

Praktikum. Schaltungstechnik 1 Praktikum Schaltungstechnik 1 Versuch A Timerbaustein NE555 Gruppe 1 Team 10 Christian Kaiser Anton Ludwik Versuchsdurchführung am 07.06.06 Blattzahl (inkl. Deckblatt): 12 1. Versuchsvorbereitung Inhaltsverzeichnis

Mehr