Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige

Größe: px
Ab Seite anzeigen:

Download "Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige"

Transkript

1 Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus

2 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen VHDL- Anweisungen zu üben. Nebenläufige Anweisungen, die in Verilog gleichzeitig d.h. parallel abgearbeitet werden, haben Sie bereits in den ersten beiden Laborversuchen unter Verwendung des Zuweisungsoperators assign genutzt. Darüber hinaus bietet Verilog die Möglichkeit, nebenläufige Zuweisungen nur unter bestimmten Bedingungen ausführen zu lassen. Hierfür kann der Bedingungsoperator?: verwendet werden. Signale mit mehreren Bits können in Verilog zu Vektoren zusammengefasst werden. Das erleichtert die Codierung und verbessert die Lesbarkeit des Codes. In diesem Laborversuch werden Sie eine 7-Segment-Anzeige mit Hilfe bedingter nebenläufiger Anweisungen und Signalvektoren implementieren. Seite 2 von 7

3 2. 7-Segment-Anzeige Die 7-Segment-Anzeige, die Sie während des Laborversuchs ansteuern, besteht aus acht Ziffern mit jeweils 7 LEDs, die in Form einer 8 angeordnet sind. Jede LED ist individuell ansteuerbar, so dass die Ziffer insgesamt 128 verschiedene Muster erzeugen kann. Von diesen Mustern sind jedoch insbesondere die zehn Kombinationen, die den 10 dezimalen Ziffern entsprechen, von besonderem Nutzen. Der Schaltplan der 7-Segment-Anzeigen ist in obigem Bild dargestellt. Wie Sie dem Schaltbild entnehmen können gibt es 2 Module mit 4 Anzeigen. Die Steuersignale der beiden Module CA, CB,.., DP sind immer paarweise mit den FPGA-Ports L3, N1,, M4 verbunden. Die beiden Module werden also mit den gleichen Eingangssignalen angesteuert. Welche Ziffer durch die Eingangssignale aktualisiert wird, hängt von den Steuersignalen AN0 AN7 ab, die mit den Anoden der jeweiligen Ziffern verbunden sind. Diese Art der Ansteuerung nennt man Multiplexing. 20Eine Anzeige ist also aktiv, wenn eine 1 an Seite 3 von 7

4 der Anode anliegt, bzw. eine 0 an der Basis des PNP-Transistors (low-aktiv), und ein Segment leuchtet, wenn gleichzeitig die Katode auf 0 ist (low-aktiv). In diesem Laborversuch wird das Signal AN0 dauerhaft auf 0 gesetzt (AN1 bis AN7 auf 1), so dass nur eine Ziffer angesteuert wird. A F E G D B C Die Steuersignale CA bis CG schalten die entsprechenden LEDs A bis G der selektierten Ziffer ein. Durch die Wahl geeigneter Bitmuster kann demnach die Anzeige unter anderem die folgenden Werte erzeugen: Die Signale der 7-Segment-Anzeige sind direkt mit dem FPGA verbunden. Die Bezeichnungen der FPGA- Pins, die zu den Anzeigen führen, können Sie dem Datenblatt entnehmen. Seite 4 von 7

5 3. Vektoren in Verilog Mehrere Signale, die logisch eine Einheit bilden, wie z.b. die Bits einer binärcodierten Dezimalzahl, können in Verilog zu einem Vektor zusammengefasst werden. In der Schnittstellenbeschreibung des Moduls wird ein Port mit der Bezeichnung a und einer Breite von 4 Bit wie folgt deklariert: input [7:0] a; Ein Signalvektor mit gleichen Eigenschaften kann mit Hilfe der folgenden Notation für die Verwendung innerhalb des Moduls deklariert werden: wire [7:0] sum_a ; Bei einer Zuweisung zwischen zwei Vektoren prüft Verilog nicht so streng wie VHDL, ob die Datentypen, die Wortbreite und die Zählrichtung identisch sind. Wird ein kürzerer Vektor einem längeren Vektor zugewiesen, werden die fehlenden höherwertigen Bits mit Nullen aufgefüllt. Wird ein längerer Vektor einem kürzeren zugewiesen werden die überzähligen höherwertigen Bits abgeschnitten. Konstanten können Vektoren in Verilog als Binär-Code, Dezimalzahl oder Hexadezimalzahl zugewiesen werden assign sum_a=4 b1101; assing sum_a=4 hd; assing sum_a=4 d13; Einzelne Elemente des Vektors können durch die folge Notation beschrieben werden: assign sum_a[3]=1; assign sum_a[2]=1; assign sum_a[1]=0; assign sum_a[0]=1; Einzelne Signale aber auch einzelne Vektoren können mit dem Konkatinierungsoperator zu einem gemeinsamen Vektor mit größerer Breite zusammengefasst werden: assign sum_a={ 1, 3 b101}; Einzelne Bits oder Signale können auch mehrfach in einen Vektor eingefügt werden: assign sum_a={4{1}}; Seite 5 von 7

6 4. Bedingte nebenläufige Anweisungen Die Ausführung nebenläufiger Anweisungen kann in Verilog an Bedingungen geknüpft werden. Hierfür wird der Bedingungsoperator verwendet. Der Bedingungsoperator hat eine ähnliche Funktionalität wie eine if-else Abfrage und hat die folgende Struktur: assign signal_name = condition_a? value_a : value_b ; Dem Signal signal_name wird der Wert value_a zugewiesen, wenn die Bedingung condition_a wahr ist und der Wert value_b, wenn die Bendingung condition_b falsch ist. Der Bedingungsoperator kann auch mehrfach verschachtelt werden, um mehrere Bedingung zu prüfen assign signal_name = condition_a? value_a : condition_b? value_b : condition_c? value_c : value d; Beispielhaft kann der Bedingungsoperator in folgender Form verwendet werden, um dem Vektor m Werte in Abhängigkeit von den Signalen p, q und r zu zuweisen: Assign m = (p == 1)? 2 b00 : (q == 1)? 2 b01 : (r == 1)? 2 b10 : 2 b11; Seite 6 von 7

7 5. Aufgabenstellung Ihre Aufgabe besteht darin, die Stellung der 4 Schalter SW3, SW2, SW1, SW0 mit Hilfe des FPGAs auszulesen und als binär kodierte Dezimalzahl zu interpretieren. Die LEDs der 7-Segment-Anzeige sollen so angesteuert werden, dass der an den Schaltern eingestellte Wert als Dezimalzahl an der Anzeige erscheint. Wird ein binärer Wert durch die Schalter gewählt, der einer Dezimalzahl größer neun entspricht, soll die 7-Segment-Anzeige den Buchstaben E für Error ausgeben. Bereiten Sie bitte die Aufgabe 1) zu Hause vor und bringen Sie Ihre Ergebnisse mit ins Labor. 1) Ermitteln Sie die Bitkombinationen CA, CB,, CG, die für die Darstellung der Dezimalzahlen 0 bis 9 und des Buchstabens E nötig sind, als Vektor mit 7 Bit Wortbreite (Anzeigen sind low-aktiv!). Dezimahlzahl CA & CB & CC & CD & CE & CF & CG E ) Schreiben Sie ein Verilog Modul, dass die Schalterstellung SW3, SW2, SW1, SW0 als 4-Bit breiten Port-Vektor einliest und mit Hilfe des Bedingungsoperators die Bitmuster für die Ansteuerung für die 7-Segment-Anzeige generiert, die dann über einen 7-Bit breiten Ausgangsportvektor der 7-Segment-Anzeige zugeführt wird. Berücksichtigen Sie, dass die 7-Segment-Anzeige noch das Signal AN0 benötigt, dass bei diesem Versuch dauerhaft auf 0 gesetzt werden soll. 3) Implementieren Sie ihr Verilog-Design auf dem FPGA. Legen Sie dabei bitte den Eingangsvektor ihres Verilog Moduls auf die Kippschalter SW0, SW1, SW2, SW3 und den Ausgangsvektor auf die Steuerleitungen der 7-Segment-Anzeige 4) Prüfen Sie die Funktion Ihres Verilog-Designs durch Betätigung der Schalter und Beobachtung der 7-Segment-Anzeige. 5) Erweitern Sie ihr Verilog Modul so, dass es noch die Zahlenwerte 10 bis 15 auf der 7-Segment Anzeige hexadezimal kodiert anzeigt. Benutzen Sie für b, d Kleinbuchstaben, für A, C, E, F Großbuchstaben. Seite 7 von 7

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Das diesem Dokument zugrundeliegende Vorhaben wurde mit Mitteln des Bundesministeriums für Bildung und Forschung unter dem Förderkennzeichen

Das diesem Dokument zugrundeliegende Vorhaben wurde mit Mitteln des Bundesministeriums für Bildung und Forschung unter dem Förderkennzeichen Das diesem Dokument zugrundeliegende Vorhaben wurde mit Mitteln des Bundesministeriums für Bildung und Forschung unter dem Förderkennzeichen 16OH21005 gefördert. Die Verantwortung für den Inhalt dieser

Mehr

Zahlen- und Buchstabencodierung. Zahlendarstellung

Zahlen- und Buchstabencodierung. Zahlendarstellung Dezimalsystem: Zahlen- und Buchstabencodierung Zahlendarstellung 123 = 1 10 2 + 2 10 1 + 3 10 0 1,23 = 1 10 0 + 2 10-1 + 3 10-2 10 Zeichen im Dezimalsystem: 0,1,...9 10 ist die Basis des Dezimalsystems

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 7. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 7.1: Schritt-Steuerwerk in Verilog Das in der Vorlesung

Mehr

Die Software zum Unser kleiner Computer

Die Software zum Unser kleiner Computer Die Software zum Unser kleiner Computer '-------------------------------------------------------------------------------- 'name : computer.bas 'copyright : (c)bernd Grupe, DF8ZR 'micro : Mega8 ' Das Programm

Mehr

Manual Universaladapterboard für Spartan3_Board

Manual Universaladapterboard für Spartan3_Board Manual Universaladapterboard für Spartan3_Board Programmieranschluss Tastaturanschluss Mausanschluss Richtungs LEDs Logik Level LED Verbinder zum Spartan3_Board Manual_Universaladapterboard_deu.pdf 1/6

Mehr

3 Kodierung von Informationen

3 Kodierung von Informationen 43 3 Kodierung von Informationen Bevor ich Ihnen im nächsten Kapitel die einzelnen Bausteine einer Computeranlage vorstelle, möchte ich Ihnen noch kurz zeigen, wie Daten kodiert sein müssen, damit der

Mehr

Tutorial Vivado/Verilog Teil 8 VGA-Display

Tutorial Vivado/Verilog Teil 8 VGA-Display Tutorial Vivado/Verilog Teil 8 VGA-Display Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf eines komplexeren digitalen Systems in

Mehr

Datenblätter. Codicount 7-Segment-LED-Anzeigemodule. Serie 800

Datenblätter. Codicount 7-Segment-LED-Anzeigemodule. Serie 800 Datenblätter Codicount 7-Segment-LED-Anzeigemodule Serie 800 Pumpwerkstrasse 23 Seite : 1 Tel. +41-1-871 31 31 Fax +41-1-870 07 59 swissinfo@crameda.com Montageanleitung Die einzelnen Anzeigemodule werden

Mehr

Erste Gehversuche mit ARDUINO (Teil 3) (DL6OAA) Die 7-Segmentanzeige (1-stellig)

Erste Gehversuche mit ARDUINO (Teil 3) (DL6OAA) Die 7-Segmentanzeige (1-stellig) Erste Gehversuche mit ARDUINO (Teil 3) (DL6OAA) Die 7-Segmentanzeige (1-stellig) Im Zusammenhang mit dem Ansteuern diverser LED zum Beispiel die Projekte Lauflicht etc. kann man auch die im 4duino-kit

Mehr

Programmiertechnik 1 FOR-SCHLEIFEN

Programmiertechnik 1 FOR-SCHLEIFEN Programmiertechnik 1 FOR-SCHLEIFEN In diesem Dokument wollen wir uns mit Kontrollstrukturen befassen. Dazu sind im Folgenden einige Übungsaufgaben zu den Themen Schleifen (FOR, WHILE, DO) und Bedingungen

Mehr

Programmieren. Aufgabe 1 (Eine erste Datenstruktur)

Programmieren. Aufgabe 1 (Eine erste Datenstruktur) Prof. Dr. S.-J. Kimmerle (Vorlesung) Dipl.-Ing. (FH) V. Habiyambere (Übung) Institut BAU-1 Fakultät für Bauingenieurwesen und Umweltwissenschaften Herbsttrimester 2016 Aufgabe 1 (Eine erste Datenstruktur)

Mehr

Operatoren in C/C++ und Java:

Operatoren in C/C++ und Java: Operatoren in C/C++ und Java: C/C++/Java hat eine riesige Anzahl von Operatoren (im Vergleich zu anderen Programmiersprachen). Außer den üblichen arithmetischen Operatoren +, -, *, / (und % für Ganzzahlen)

Mehr

Eingaben. Themen heute. Taster. Eingaben Zuweisungen Zeitmessung. Programmieren für Ingenieure Sommer Andreas Zeller, Universität des Saarlandes

Eingaben. Themen heute. Taster. Eingaben Zuweisungen Zeitmessung. Programmieren für Ingenieure Sommer Andreas Zeller, Universität des Saarlandes Eingaben Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Themen heute Eingaben Zuweisungen Zeitmessung Taster Wikipedia Ziel Wenn Taste gedrückt, soll LED leuchten Wir

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn Ideen und Konzepte der Informatik Programme und Algorithmen Kurt Mehlhorn 26. Oktober 2015 Programme und Algorithmen Programmiersprache = Kunstsprache mit genau definierter Syntax (was ist ein zulässiger

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

Programmiertechnik 1 FOR-SCHLEIFEN

Programmiertechnik 1 FOR-SCHLEIFEN Programmiertechnik 1 FOR-SCHLEIFEN In diesem Dokument wollen wir uns mit Kontrollstrukturen befassen. Dazu sind im Folgenden einige Übungsaufgaben zu den Themen Schleifen (FOR, WHILE, DO) und Bedingungen

Mehr

Einheit Datentypen in der Programmiersprache C Schwerpunkt: Elementare (arithmetische) Datentypen

Einheit Datentypen in der Programmiersprache C Schwerpunkt: Elementare (arithmetische) Datentypen Einheit Datentypen in der Programmiersprache C Schwerpunkt: Elementare (arithmetische) Datentypen Kurs C/C++ Programmierung, WS 2008/2009 Dipl.Inform. R. Spurk Arbeitsgruppe Programmierung FR 6.2 Informatik

Mehr

Übungspaket 12 Der Datentyp char

Übungspaket 12 Der Datentyp char Übungspaket 1 Der Datentyp char Übungsziele: Skript: 1. Umgang mit dem Datentyp char,. Deklarationen von char-variablen, 3. char-konstanten 4. und char-rechenoperationen. Kapitel: 9 bis 31 sowie 4, 5 und

Mehr

U3-1 Register beim AVR-μC. U3 3. Übung. U3-2 I/O-Ports des AVR-μC. 1 Überblick. 2 Makros für Register-Zugriffe. 1 Überblick

U3-1 Register beim AVR-μC. U3 3. Übung. U3-2 I/O-Ports des AVR-μC. 1 Überblick. 2 Makros für Register-Zugriffe. 1 Überblick U3 3. Übung U3 3. Übung U3-1 Register beim AVR-μC U3-1 Register beim AVR-mC Wiederholung: Zugriff auf AVR-Prozessor-Register Wiederholung: I/O-Ports Zahlensysteme Überblick: Modulare Softwareentwicklung

Mehr

Wiederholung: Zugriff auf AVR-Prozessor-Register. Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek

Wiederholung: Zugriff auf AVR-Prozessor-Register. Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek U3 3. Übung U3 3. Übung Wiederholung: Zugriff auf AVR-Prozessor-Register Wiederholung: I/O-Ports Zahlensysteme Überblick: Modulare Softwareentwicklung Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek U3.1

Mehr

Wiederholung: Zugriff auf AVR-Prozessor-Register

Wiederholung: Zugriff auf AVR-Prozessor-Register U3 3. Übung U3 3. Übung Wiederholung: Zugriff auf AVR-Prozessor-Register Wiederholung: I/O-Ports Zahlensysteme Überblick: Modulare Softwareentwicklung Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek U3.1

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Algorithmen & Programmierung. Zahlensysteme Bits und Bytes

Algorithmen & Programmierung. Zahlensysteme Bits und Bytes Algorithmen & Programmierung Zahlensysteme Bits und Bytes Zahlensysteme Positionssystem Bei sogenannten Positionssystemen bestimmt (im Gegensatz zu additiven Systemen wie dem römischen Zahlensystem) die

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

FACHHOCHSCHULE AUGSBURG Hochschule für Technik, Wirtschaft und Gestaltung

FACHHOCHSCHULE AUGSBURG Hochschule für Technik, Wirtschaft und Gestaltung C Sprachelemente für Übung 2 Typumwandlungen (type casts) Bei Ausdrücken, in denen Operanden mit unterschiedlichem Typ vorkommen, werden diese vom Compiler vor der Ausführung automatisch in einen gemeinsamen

Mehr

There are only 10 types of people in the world: those who understand binary, and those who don't

There are only 10 types of people in the world: those who understand binary, and those who don't Modul Zahlensysteme In der Digitaltechnik haben wir es mit Signalen zu tun, die zwei Zustände annehmen können: Spannung / keine Spannung oder 1/ oder 5V / V oder beliebige andere Zustände. In diesem Modul

Mehr

Technische Fachhochschule Berlin Fachbereich VIII

Technische Fachhochschule Berlin Fachbereich VIII Technische Fachhochschule Berlin Fachbereich VIII Ergänzungen Seite von LOGIKPEGEL Logik-Familien sind elektronische Schaltkreise, die binäre Zustände verarbeiten und als logische Verknüpfungen aufgebaut

Mehr

Java - Zahlen, Wahrheitswerte und Zeichen. Leibniz Universität IT Services Anja Aue

Java - Zahlen, Wahrheitswerte und Zeichen. Leibniz Universität IT Services Anja Aue Java - Zahlen, Wahrheitswerte und Zeichen Leibniz Universität Anja Aue Kommentare Hilfe für den Entwickler. Wer hat wann welche Änderung vorgenommen? Warum werden diese Anweisungen hier ausgeführt? Bei

Mehr

Institut für Informatik. Aufgaben zum Elektronik Grundlagenpraktikum. 3.Praktikumskomplex Schaltungen mit digitalen Grundgattern

Institut für Informatik. Aufgaben zum Elektronik Grundlagenpraktikum. 3.Praktikumskomplex Schaltungen mit digitalen Grundgattern UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-034-97 3223 Zimmer: Jo 04-47 e-mail:

Mehr

Betreuer : ULRICH PÖTTER. Seite 1

Betreuer : ULRICH PÖTTER. Seite 1 VU THANH HAI PHAM Betreuer : ULRICH PÖTTER Seite 1 Gliederung 1. Was ist eine Segmentanzeige? 2. Siebensegmentanzeige 3. Verwendung in unserem Projekt 4. Informationsquellen Seite 2 1. Was ist eine Segmentanzeige?

Mehr

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn Ideen und Konzepte der Informatik Programme und Algorithmen Kurt Mehlhorn Algorithmen und Programme Algorithmus Schritt-für-Schritt Vorschrift zur Lösung eines Problems. Formuliert man umgangssprachlich,

Mehr

Übungsblatt 6. Implementierung einer Befehlspipeline

Übungsblatt 6. Implementierung einer Befehlspipeline Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 6. Implementierung einer Befehlspipeline 1.1. Einführung Durch die Einteilung der Befehlsverarbeitung in mehrere Zyklen in dem vorangegangenen

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

9. Kombinatorische Schaltungen

9. Kombinatorische Schaltungen 9. Kombinatorische Schaltungen Christoph Mahnke 15.06.2006 1 NAND-Gatter sowie der Eingangsstrom I E = f(u E ) Abbildung 1: Schaltsymbol NAND-Gatter Ein NAND-Gatter entspricht der logischen Verknüpfung

Mehr

Programmieren 1 C Überblick

Programmieren 1 C Überblick Programmieren C Überblick. Einleitung 2. Graphische Darstellung von Algorithmen 3. Syntax und Semantik 4. Einstieg in C: Einfache Sprachkonstrukte und allgemeiner Programmaufbau 5. Skalare Standarddatentypen

Mehr

Erste Gehversuche mit ARDUINO (DL6OAA)

Erste Gehversuche mit ARDUINO (DL6OAA) Erste Gehversuche mit ARDUINO (DL6OAA) Zuerst die Software und Treiber installieren, siehe Anleitung auf der CD. Testen des Boards Arduino-Programm starten. Gehe zum Menüpunkt WERKZEUGE. Wenn die Installation

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 6: Computergrafik und Sprites 12. Mai 2009 Fachbereich 12: Informatik und Mathematik Institut für Informatik Professur für Eingebettete

Mehr

Dynamisches Huffman-Verfahren

Dynamisches Huffman-Verfahren Dynamisches Huffman-Verfahren - Adaptive Huffman Coding - von Michael Brückner 1. Einleitung 2. Der Huffman-Algorithmus 3. Übergang zu einem dynamischen Verfahren 4. Der FGK-Algorithmus 5. Überblick über

Mehr

Grundlagen der Rechnerarchitektur. Binäre Logik und Arithmetik

Grundlagen der Rechnerarchitektur. Binäre Logik und Arithmetik Grundlagen der Rechnerarchitektur Binäre Logik und Arithmetik Übersicht Logische Operationen Addition, Subtraktion und negative Zahlen Logische Bausteine Darstellung von Algorithmen Multiplikation Division

Mehr

Hochschule Niederrhein Einführung in die Programmierung Prof. Dr. Nitsche. Bachelor Informatik WS 2015/16 Blatt 3 Beispiellösung.

Hochschule Niederrhein Einführung in die Programmierung Prof. Dr. Nitsche. Bachelor Informatik WS 2015/16 Blatt 3 Beispiellösung. Zahldarstellung Lernziele: Vertiefen der Kenntnisse über Zahldarstellungen. Aufgabe 1: Werte/Konstanten Ergänzen Sie die Tabelle ganzzahliger Konstanten auf einem 16- Bit- System. Die Konstanten in einer

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Lösungsvorschlag 3. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 3. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 3. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 3.1: Codierungen a) Vervollständigen Sie folge Tabelle,

Mehr

Grundlagen der Programmierung

Grundlagen der Programmierung Grundlagen der Programmierung 5. Vorlesung 06.11.2018 1 Zahlendarstellungen 2 Speicherinhalte: Bits Hardware Spannung Ladung Magnetisierung Codierung 0V ungeladen unmagnetisiert 0 5V geladen magnetisiert

Mehr

Ideen und Konzepte der Informatik

Ideen und Konzepte der Informatik Ideen und Konzepte der Informatik Programme und Algorithmen Antonios Antoniadis 23. Oktober 2017 Algorithmen und Programme Algorithmus Schritt-für-Schritt Vorschrift zur Lösung eines Problems. Formuliert

Mehr

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn

Ideen und Konzepte der Informatik. Programme und Algorithmen Kurt Mehlhorn Ideen und Konzepte der Informatik Programme und Algorithmen Kurt Mehlhorn November 2016 Algorithmen und Programme Algorithmus = Schritt-für-Schritt Vorschrift zur Lösung eines Problems. Formuliert man

Mehr

Kombinatorische Schaltungen

Kombinatorische Schaltungen Mathias Arbeiter 16. Juni 2006 Betreuer: Herr Bojarski Kombinatorische Schaltungen Elektrische Logigsysteme ohne Rückführung Inhaltsverzeichnis 1 Wirkungsweise von NAND-Gattern 3 2 logische Schaltungen

Mehr

Praktische Informatik II FSS 2012 Programmierklausur

Praktische Informatik II FSS 2012 Programmierklausur Praktische Informatik II FSS 2012 Programmierklausur Prof. Dr. Heiner Stuckenschmidt 20.04.2012 Name, Vorname: Matrikelnummer: CVS-Username: CVS-Password: automatisch generierter Benutzername automatisch

Mehr

Wiederholung: Zugriff auf AVR-Prozessor-Register. Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek

Wiederholung: Zugriff auf AVR-Prozessor-Register. Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek U4 4. Übung U4 4. Übung Wiederholung: Zugriff auf AVR-Prozessor-Register Wiederholung: I/O-Ports Hexadezimalzahlen Überblick: Modulare Softwareentwicklung Aufgabe 3: 7seg-Modul der SPiCboard-Bibliothek

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

EiP Übung

EiP Übung 1. Verwenden Sie folgenden UNIX Befehl um aus der Datei artikel.txt der Aufgabe 3 eine Liste aller vorkommenden Wörter, zusammen mit Ihrer Frequenz zu erstellen. Tippen Sie folgenden UNIX Befehl ab und

Mehr

Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems

Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems 4. Algorithmen Motivation Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems Der Begriff Algorithmus geht auf den Gelehrten Muhammad al-chwarizmi zurück, der um

Mehr

BASIC-Tiger Application Note No. 055 Rev Segment-Displays mit Jumbo-Anzeigen. Gunther Zielosko. 1. Einleitung

BASIC-Tiger Application Note No. 055 Rev Segment-Displays mit Jumbo-Anzeigen. Gunther Zielosko. 1. Einleitung 7-Segment-Displays mit Jumbo-Anzeigen Gunther Zielosko 1. Einleitung Dekorativ sehen sie ja aus, diese übergroßen 7-Segment-Anzeigeelemente (Beispiel Bild 1). Eine Uhr oder ein Thermometer mit großen Ziffern

Mehr

KLAUSUR ZUM BACHELORMODUL EINFÜHRUNG IN DIE PROGRAMMIERUNG WS 2016/17, DR. MAXIMILIAN HADERSBECK KLAUSUR AM

KLAUSUR ZUM BACHELORMODUL EINFÜHRUNG IN DIE PROGRAMMIERUNG WS 2016/17, DR. MAXIMILIAN HADERSBECK KLAUSUR AM KLAUSUR ZUM BACHELORMODUL EINFÜHRUNG IN DIE PROGRAMMIERUNG WS 2016/17, DR. MAXIMILIAN HADERSBECK KLAUSUR AM 6.2.2017 VOR NACH MATRIKELNUMMER: STUDIENGANG: B.Sc. Computerlinguistik, B.Sc. Informatik, Magister

Mehr

V cc. 1 k. 7 mal 150 Ohm

V cc. 1 k. 7 mal 150 Ohm Ein Digitalvoltmeter Seite 1 von 6 Eine Multiplex-Anzeige Mit diesem Projekt wird das Ziel verfolgt, eine mehrstellige numerische Anzeige für Mikrocontroller-Systeme zu realisieren. Die Multiplex-Anzeige

Mehr

Informatik für Schüler, Foliensatz 2 Ausdrücke, Variablen, Datentypen, Ein- und Ausgabe

Informatik für Schüler, Foliensatz 2 Ausdrücke, Variablen, Datentypen, Ein- und Ausgabe Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 26. August 2009 1/17 Informatik für Schüler, Foliensatz 2 Ausdrücke, Variablen, Datentypen, Ein- und Ausgabe Prof. G. Kemnitz

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems

Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems 4. Algorithmen Motivation Algorithmen als systematische Vorgehensweisen zur Lösung eines formal definierten Problems Der Begriff Algorithmus geht auf den Gelehrten Muhammad al-chwarizmi zurück, der um

Mehr

Grundlagen der Technischen Informatik. 3. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 3. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 3. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 3. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Aufgabe 5: Zahlendarstellungen

Mehr

ÜBUNGS-BLOCK 7 LÖSUNGEN

ÜBUNGS-BLOCK 7 LÖSUNGEN ÜBUNGS-BLOCK 7 LÖSUNGEN Aufgabe 1: Gegeben ist folgender Code: Auto[] array = new Auto[3]; // Alle Autos im Array tunen: for (int i = 1; i

Mehr

Modellierung und Programmierung 1

Modellierung und Programmierung 1 Modellierung und Programmierung 1 Prof. Dr. Sonja Prohaska Computational EvoDevo Group Institut für Informatik Universität Leipzig 4. November 2015 Administratives Zur Abgabe von Übungsaufgaben Nein, wir

Mehr

Grundlagen der Programmierung

Grundlagen der Programmierung Grundlagen der Programmierung 7. Vorlesung 18.05.2016 1 Konstanten Ganzzahlkonstante Dezimal: 42, 23, -2 Oktal (0 vorangestellt): 052 Hexadezimal (0x vorangestellt): 0x2A Gleitkommazahlen: 3.1415, 2.71,

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

Java - Zahlen, Wahrheitswerte und Zeichen. Leibniz Universität IT Services Anja Aue

Java - Zahlen, Wahrheitswerte und Zeichen. Leibniz Universität IT Services Anja Aue Java - Zahlen, Wahrheitswerte und Zeichen Leibniz Universität IT Services Anja Aue Kommentare Hilfe für den Entwickler. Wer hat wann welche Änderung vorgenommen? Warum werden diese Anweisungen hier ausgeführt?

Mehr

Basiswissen für junge Elektroniker. Womit rechnet ein Computer?

Basiswissen für junge Elektroniker. Womit rechnet ein Computer? Basiswissen für junge Elektroniker Dualzahlen Womit rechnet ein Computer? Man kann ja nicht in ihn hineinsehen. Man könnte im Internet die Antwort suchen. Was da in einem Rechner so vor sich geht, das

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Die Programmierung eines FPGAs mit der Quartus-Software ist für den Anfänger erst mal nicht so einfach zu bewerkstelligen. Mit dieser

Mehr

Zahlendarstellungen und Rechnerarithmetik*

Zahlendarstellungen und Rechnerarithmetik* Zahlendarstellungen und Rechnerarithmetik* 1. Darstellung positiver ganzer Zahlen 2. Darstellung negativer ganzer Zahlen 3. Brüche und Festkommazahlen 4. binäre Addition 5. binäre Subtraktion *Die Folien

Mehr

Grundlagen der Technischen Informatik. 3. Übung

Grundlagen der Technischen Informatik. 3. Übung Grundlagen der Technischen Informatik 3. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 3. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Zahlendarstellungen Zahlendarstellungen,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit

Mehr

Labor Industrielle Netzwerke. Versuch 1: Einarbeitung in die Entwicklungsoberfläche, LED und Taster

Labor Industrielle Netzwerke. Versuch 1: Einarbeitung in die Entwicklungsoberfläche, LED und Taster Labor Industrielle Netzwerke Versuch 1: Einarbeitung in die Entwicklungsoberfläche, LED und Taster Datum: 16.01.2018 1 Einarbeitung in die Entwicklungsoberfläche Im Labor Industrielle Netzwerke wird die

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch

Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch Microcontroller Praktikum SS2010 Dipl. Ing. R. Reisch Die wichtigsten Unterlagen/Tools Für das Praktikum Unterlagen/Kenntnisse/Tools wichtig: Datenblatt des AT80USB1287 µc Schaltplan des im Praktikum verwendeten

Mehr

JavaScript. Dies ist normales HTML. Hallo Welt! Dies ist JavaScript. Wieder normales HTML.

JavaScript. Dies ist normales HTML. Hallo Welt! Dies ist JavaScript. Wieder normales HTML. JavaScript JavaScript wird direkt in HTML-Dokumente eingebunden. Gib folgende Zeilen mit einem Texteditor (Notepad) ein: (Falls der Editor nicht gefunden wird, öffne im Browser eine Datei mit der Endung

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites Praktikum Grundlagen von Hardwaresystemen Sommersemester 2007 Versuch 6: Computergrafik und Sprites 28. Juni 2007 Fachbereich 12: Informatik und Mathematik Institut für Informatik Professur für Technische

Mehr

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther

Mehr

Versuch 2, LPC11U24 - GPIO

Versuch 2, LPC11U24 - GPIO Die LED auf dem Piggyback (weiße Zusatzplatine) soll mit Hilfe der BOOT-Taste gesteuert werden. Solange die Taste gedrückt ist, soll die LED leuchten. Ist die Taste nicht gedrückt, dann bleibt die LED

Mehr

, 2014W Übungstermin: Fr.,

, 2014W Übungstermin: Fr., VU Technische Grundlagen der Informatik Übung 1: Zahlendarstellungen, Numerik 183.579, 2014W Übungstermin: Fr., 17.10.2014 Allgemeine Hinweise: Versuchen Sie beim Lösen der Beispiele keine elektronischen

Mehr

Klausur PHMJ02 SS2012. Mikrocontroller und Robotik. Mittwoch GUTEN ERFOLG!!!

Klausur PHMJ02 SS2012. Mikrocontroller und Robotik. Mittwoch GUTEN ERFOLG!!! Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... Klausur PHMJ02 SS2012 Mikrocontroller und Robotik Mittwoch 15.8.2012

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

Intensivübung zu Algorithmen und Datenstrukturen

Intensivübung zu Algorithmen und Datenstrukturen Intensivübung zu Algorithmen und Datenstrukturen Silvia Schreier Informatik 2 Programmiersysteme Martensstraße 3 91058 Erlangen Übersicht Programmierung Fallunterscheidung Flussdiagramm Bedingungen Boolesche

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

, 2017S Übungstermin: Di.,

, 2017S Übungstermin: Di., VU Technische Grundlagen der Informatik Übung 1: Zahlendarstellungen, Numerik 183.579, 2017S Übungstermin: Di., 14.03.2017 Allgemeine Hinweise: Versuchen Sie beim Lösen der Beispiele keine elektronischen

Mehr