Tutorial Vivado/Verilog Teil 8 VGA-Display

Größe: px
Ab Seite anzeigen:

Download "Tutorial Vivado/Verilog Teil 8 VGA-Display"

Transkript

1 Tutorial Vivado/Verilog Teil 8 VGA-Display Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus

2 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf eines komplexeren digitalen Systems in Verilog zu üben. VGA (Video Graphics Array) ist ein Standard, der die analoge Übertragung von Grafikinformationen zwischen Grafikkarte und Bildschirm bei einem PC definiert. In diesem Praktikumsversuch werden Sie einen Signalgenerator für die Bildsynchronisation eines VGA- Monitors entwickeln und einfache geometrische Figuren auf dem Monitor darstellen. Seite 2 von 8

3 1. VGA-Standard Der VGA-Standard wurde entworfen, um die Übertragung von Bilddaten an Röhrenmonitore zu definieren. Verwendet wird eine Schnittstelle aus fünf analogen Signalen. Drei der Signale werden für die Codierung der Grundfarben Rot (R), Grün (G) und Blau (B) verwendet, während die anderen beiden Signale für die horizontale (HSYNC) und vertikale (VSYNC) Bildsynchronisation zuständig sind. Der Signalpegel der Farbsignale beträgt 0,7V SS an 75 Ohm. D.h. für die Farbe Schwarz müssen Red, Green und Blue einen Pegel von 0 V haben und für Weiß müssen alle einen Pegel von 0,7V haben. Da es an den Pins des FPGAs nur die Pegel 0 und 3,3V gibt, ist ein DA-Wandler für die Erzeugung der richtigen Pegel notwendig. Dies ist auf dem Nexys4-Board mit einem Widerstandsnetzwerk gelöst, das zusammen mit dem 75 Ohm-Abschluss des VGA-Displays die einfachste Realisierung eines DA-Wandlers ist: Jede Farbe hat 4 Bit und damit 16 mögliche Signalpegel. Damit sind 16*16*16=4096 Farben darstellbar. 1.2 VGA-Timing Röhrenmonitore generieren für jede der drei Grundfarben einen Elektronenstrahl, der auf jeweils eine von drei Phosphorschichten auf der Innenseite des Bildschirms trifft. Die getroffene Phosphorschicht leuchtet dann entweder in Rot, Grün oder Blau und zwar mit einer Intensität, die durch die Stärke des entsprechenden Elektronenstrahls bestimmt wird. Die Elektronenstrahlen durchlaufen den Bildschirm zeilenweise von links nach rechts und von oben nach unten. Erreichen die Elektronenstrahlen das Ende einer Zeile, werden Sie an den Anfang der nächst-tieferen Zeile positioniert. Kommen die Strahlen Seite 3 von 8

4 schließlich am Ende der letzten Zeile an, so werden die Strahlen in den ursprünglichen Ausgangspunkt am Anfang der ersten Zeile zurückgeführt. Während der Rückführungsphasen von rechts nach links und von unten nach oben, die in obiger Darstellung als gestrichelte Linien dargestellt sind, werden die Elektronenstrahlen ausgeschaltet. Ein großer Teil der potentiellen Anzeigezeit wird also für die Neuausrichtung des Elektronenstrahls aufgewendet. Das genaue Timing der Strahlensteuerung wird durch die Auflösung und die Bildwiederholungsfrequenz der Anzeige definiert. Der VGA-Controller generiert passend zur Auflösung und Bildwiederholungsfrequenz HSYNC-Pulse für den Zeilen- und VSYNC-Pulse für den Bildübergang. Darüber hinaus generiert der VGA-Controller die analogen Farbsignale entsprechend der aktuellen Position der Elektronenstrahlen, um ein Bildmuster gewünschter Form darzustellen. T S T D T P T B T F Der typische Signalverlauf der Synchronisationssignale ist in der oberen Abbildung dargestellt. Mit einer Periode von T s Sekunden wird für die Zeitdauer T P (Pulse width) ein low-aktiver Puls generiert. Auf den Puls folgt eine Strahlkalibrierungsphase die den Zeitraum T B (Back porch) in Anspruch nimmt. Während dieser Zeit ist der Elektronenstrahl abgeschaltet. Direkt im Anschluss beginnt die eigentliche Darstellungsphase, und der Elektronenstrahl ist für einen Zeitraum T D (Display) aktiv und generiert Bildpunkte. Nach dem Ende der Darstellungsphase aber noch vor Ablauf der Periodendauer T S erfolgt eine erneute Strahlkalibrierung über eine Zeitdauer T F (Front porch) mit ausgeschaltetem Elektronstrahl. Anschließend beginnt der Zyklus von vorne. Der grundlegende VGA-VESA-Standard definiert eine Bildschirmauflösung von 640x480 Pixeln bei einer Bildwiederholungsfrequenz von 60 Hz und einer Pixelfrequenz von 25,175 MHz. Die horizontale Darstellungsphase hat 640 Pixel und dauert daher 640/25,175 MHZ=25,422 us. Die Zeiten und Pixel des VESA-Standards sind in der folgenden Tabelle zusammengefasst. Seite 4 von 8

5 Symbol Parameter Pixelclocks HSYNC H-Lines VSYNC T D Darstellungsphase ,422 us ,253 ms T F Front porch 16 0,636 μs 10 0,318 ms T P Sync-Pulsbreite 96 3,813 us 2 0,064 ms T B Back porch 48 1,907 μs 33 1,049 ms T S Synchronisationsperiode, Dauer einer Zeile, bzw. eines Bilds ,778 μs ,683 ms Damit ist die Bildwiederholungsfrequenz 1/16,683 ms= 59,941 Hz. Da wir hier mit einer Pixelfrequenz von 25,0 MHz arbeiten wollen, ergibt sich hier eine Abweichung zum VESA-Standard. Dabei werden jetzt die Pixelanzahlen im horizontalen Synchronisationspuls eingehalten, wodurch sich jedoch andere Zeiten ergeben. Der vertikale Synchronisationspuls wird jedoch angepasst, so dass die Bildwiederholungsfrequenz weiterhin ca. 60 Hz ist. Hierfür wird Back porch und damit auch die Synchronisationsperiode um 4 Pixel verkürzt. Dies führt zu folgender Tabelle: Symbol Parameter Pixelclocks HSYNC H-Lines VSYNC T D Darstellungsphase ,6 us ,36 ms T F Front porch 16 0,64 μs us T P Sync-Pulsbreite 96 3,84 us 2 64 us T B Back porch 48 1,92 μs us T S Synchronisationsperiode, Dauer einer Zeile, bzw. eines Bilds ,0 μs ,667 ms Damit ist die Bildwiederholungsfrequenz 1/16,667 ms=59,999 Hz. Diese Abweichung vom Standard sollte eigentlich kein Problem für die Monitore sein, jedoch hat sich gezeigt, dass neuere Monitore hier häufig ein Synchronisationsproblem haben. Daher wird in diesem Laborversuch zum Testen ein alter Monitor verwendet. Auf dem FPGA-Board sind die VGA-Farbkanäle über eine Widerstandsmatrix mit jeweils 4 Ausgangs-Pins des FPGAs verbunden. Dadurch ist die Amplitude jedes der drei Farbsignale auf 16 Werte beschränkt. Insgesamt ergeben sich 4096 darstellbare Farbkombinationen, von denen wir jedoch nur 8 nutzen und die in der folgenden Tabelle aufgelistet sind. Hierbei bedeutet eine 0, dass alle Bits 0 sind, also 0000 und eine 1, dass alle Bits 1 sind, also Im Gegensatz hierzu verfügt eine vollwertige VGA Grafikkarte über einen Videospeicher, bei dem für jeden Pixel bis zu 32 Bit zur Verfügung stehen, um die gewünschte Farbtiefe bei der Darstellung zu erreichen. Die entsprechende Bitinformation wird dann einem Digital/Analog-Wandler zugeführt, welcher die analoge Amplitude des entsprechenden VGA- Farbsignals generiert. Die Signalamplitude definiert wiederum die Stärke des Elektronenstrahls und damit die Leuchtintensität der jeweiligen Farbe. Seite 5 von 8

6 2. Struktur des VGA Signalgenerators Eine mögliche Struktur des VGA-Signalgenerators ist in der folgenden Darstellung abgebildet. Der Counter wird für die Zähler hcount und vcount verwendet. Hierbei werden 2 geschachtelte Zähler eingesetzt. hcount wird mit jeder 4. steigenden Taktflanke des Systemtaktes inkrementiert bis die horizontale Synchronisationsperiode von T SH = 32,0 μs abgelaufen ist. Bei einem Pixeltakt von 100MHz/4=25 MHz entspricht das 800 Zählzyklen für hsync. Vcount wird immer dann inkrementiert, wenn hcount auf 0 geht. Die Synchronistaionsperiode beträgt 521 Zeilen. Für die binärkodierte Darstellung dieser Zahlen werden 2 10-Bit-Zähler benötigt. Sobald der entsprechende Zählerstand erreicht ist, wird der Zählerstand wieder synchron auf Null zurückgesetzt. reset reset clk100m Counter hcount 10 Bit vcount 10 Bit Synchronizer hsync vsync pixel_x 10 Bit pixel_y 9 Bit beam Pattern Generator red 4 Bit green 4 Bit blue 4 Bit Der Synchronizer wertet den Zählerstand von hcount aus und generiert daraus den low-aktiven hsync- Puls. Die hsync-pulsdauer entspricht bei einer 25-MHz-Pixelclock 96 Zählzyklen, die vsync-pulsdauer entspricht dabei der Dauer von zwei hsync-zyklen und dementsprechend zwei Zählzyklen des vcount- Zählers. Die Zählerstände hcount und vcount geben im Prinzip Auskunft darüber, an welcher Pixelposition sich der Elektronenstrahl aktuell befindet. Für die Berechnung der Pixelposition aus den Zählerständen müssen jedoch auch die Pulsdauern der HSYNC- und VSYNC-Signale und die Rekalibrierungsphasen berücksichtigt werden. Seite 6 von 8

7 Die initiale horizontale Kalibrierungsphase dauert T BH = 1,92 us, was genau 48 Perioden des 25 MHz- Pixeltaktes in Anspruch nimmt. Zusammen mit den 96 Takten, die der Breite des HSYNC-Pulses entsprechen, muss vom Zäherstand hcount der Wert 96+48=144 abgezogen werden, um die aktuelle Pixelposition in x-richtung zu ermitteln. Hat hcount noch keine 144 Zählzyklen abgeschlossen, ist die Darstellungsphase noch nicht erreicht und der Elektronenstrahl muss abgeschaltet werden. Hierfür setzt der Synchronizer das Signal beam auf Null. Hat hcount 144 Zyklen gezählt, liegt die aktuelle Pixelposition in x-richtung am Signal pixel_x an. Die initiale vertikale Kalibrierungsphase nimmt die Zeit T BH = 928 μs also 29 Zählzyklen von vcount in Anspruch. Zusammen mit den 2 Zählzyklen, die der Breite des vsync-pulses entsprechen, muss vom Zählerstand von vcount der Wert 29+2=31 abgezogen werden, um die aktuelle Pixelposition in y- Richtung zu ermitteln. Hat vcount noch keine 31 Zählzyklen durchgeführt, ist die Darstellungsphase noch nicht erreicht, und der Elektronenstrahl muss abgeschaltet werden. Auch in diesem Fall wird das Signal beam vom Synchronizer auf Null gehalten. Hat vcount aber 31 Zyklen gezählt, liegt die aktuelle Pixelposition in y-richtung am Signal pixel_y an. Die horizontale Darstellungsphase dauert T DH = 25,6 μs bzw. 640 Zählzyklen hcount. Unter Berücksichtigung der Tatsache, dass die Darstellungsphase erst nach 144 Zyklen beginnt, muss der Elektronenstrahl abgeschaltet werden, wenn hcount insgesamt 784 Zählzyklen durchlaufen hat. Die vertikale Darstellungsphase dauert T DV = 15,36 ms bzw. 480 Zählzyklen von vcount. Wenn auch hier berücksichtigt wird, dass die Darstellungsphase erst nach 31 Zählzyklen beginnt, so muss der Elektronenstrahl abgeschaltet wenn vcount insgesamt 511 Zyklen durchlaufen hat. Nur wenn sowohl die vertikale und die horizontale Synchronisation sich in der Darstellungsphase befinden, wird das Signal beam auf eins gelegt, um die Elektronenstrahlen einzuschalten. Zusammenfassung Zählerstande: hcount: 0-95 hsync, Initiale Kalibrierung, Darstellung, Endkalibrierung vcount: 0-1 vsync, 2-30 Initiale Kalibrierung, Darstellung, Endkalibrierung Der Pattern Generator wertet nun immer dann, wenn das Signal beam gesetzt ist, die Pixelposition pixel_x und pixel_y aus und setzt die Signale red, green blue in Abhängigkeit von der Pixelposition in der Art und Weise, dass das gewünschte Bild am Monitor erzeugt wird. Im Rahmen dieses Laborversuchs sollen einfache geometrische Figuren wie z.b. Rechtecke, Kreise, Kreuze, Ellipsen oder ähnliches erzeugt werden. Bei einem vollwertigen VGA-Controller würden jedoch die Signale pixel_x und pixel_y genutzt werden, um einen Video Speicher zu adressieren, die aktuelle Information für die entsprechende Pixelposition auszulesen und auf dem Monitor darzustellen. Seite 7 von 8

8 3. Aufgabenstellung Ihre Aufgabe besteht darin, in einem hierarchischen Design aus 4 Verilog-Modulen einen VGA- Signalgenerator zu erzeugen. Ziel ist es, einfache geometrische Figuren ihrer Wahl an einem Monitor mit VGA-Anschluss anzuzeigen. 3 Module bilden jeweils die zuvor beschriebene Funktionalität des Counters, Synchronizers und des Pattern-Generators ab. Im 4. Verilog-Modul werden die 3 genannten Module als Komponenten genutzt und sinnvoll miteinander verbunden. 1. Entwerfen Sie ein Verilog-Modul, das die Funktionalität des Counters implementiert. 2. Schreiben Sie eine Testbench und verifizieren Sie die Funktion des Counters. 3. Entwerfen Sie ein Verilog-Modul, das die Funktionalität des Synchronizers implementiert. 4. Schreiben Sie eine Testbench und verifizieren Sie die Funktion des Synchronizers. 5. Entwerfen Sie ein Verilog-Modul, das die Funktionalität des Pattern-Generators implementiert und einfache geometrische Figuren wie Kreuze, Rechtecke, Kreise oder ähnliches generiert. 6. Schreiben Sie eine Testbench und verifizieren Sie die Funktion des Pattern-Generators. 7. Entwerfen Sie ein Verilog-Modul, in dem alle bereits entworfenen Verilog-Module als Komponenten verwendet und miteinander verbunden werden. 8. Schreiben Sie eine Testbench und verifizieren Sie die Funktion des VGA-Signal-Generators 9. Implementieren Sie das Design auf dem FPGA. Verbinden Sie dabei das Taktsignal mit dem 100 MHz-Oszillator des Boards, das Resetsignal mit einem Taster und die VGA-Signale mit dem VGA- Port des Boards. Schließen Sie das FPGA-Board an ihren Monitor an und betrachten Sie das Ergebnis. Seite 8 von 8

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Produkteigenschaften

Produkteigenschaften Produkteigenschaften Unser Team hat viel Zeit investiert um diese TFTs mit vielen Funktionen und einem intelligenten Design, zu wirklich vielseitigen Produkten zu machen. Alle Module verfügen über die

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

LVDS - Adapter NetDCU-ADP/LVDS1

LVDS - Adapter NetDCU-ADP/LVDS1 LVDS - Adapter NetDCU-ADP/LVDS1 Version 1.04 Datum 10.08.2010 by F & S Elektronik Systeme GmbH 2004 F & S Elektronik Systeme GmbH Untere Waldplätze 23 D-70569 Stuttgart Tel.: 0711/6772240 Fax: 0711/6772243

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Integrated HW/SW Systems Group 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel.

Integrated HW/SW Systems Group  20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel. Erweiterte Simulation / Aufgaben 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 20 November 2009 1 Inhalt Erweiterung der Simulation Ausgabe der Bilddaten in eine Datei

Mehr

Handbuch Grafikkonverter SK-RGB-VGA

Handbuch Grafikkonverter SK-RGB-VGA Handbuch Grafikkonverter SK-RGB-VGA VISCOTECH Berghäuser Str. 32 * 57319 Bad Berleburg www.viscotech.de * info@viscotech.de Tel.: +49-(0)2751-9209703 Inhaltsverzeichnis Beschreibung...3 Signale...4 Anschlüsse...5

Mehr

Medien- Technik. Videotechnik

Medien- Technik. Videotechnik Videotechnik 1 Optimaler Sehabstand Winkel < 1/60 Europa 625 / 50 / 2:1 USA 625 / 59.94 / 2:1 HDTV 1125 / 60 / 2:1 Seitenverhältnis 4:3 trad. 16:9 HDTV Bildwiederholrate muß bei hellem Umfeld höher sein

Mehr

Reduzierung der Bewegungsunschärfe durch Turbo 240

Reduzierung der Bewegungsunschärfe durch Turbo 240 Whitepaper Reduzierung der Bewegungsunschärfe durch Turbo 240 INHALT Einführung... 2 Reaktionszeit... 2 Eingangssignale mit 120 Hz... 3 Displays mit Erhaltungsdarstellung und Displays mit Impulsdarstellung...

Mehr

LCD-TFT-Farb-Monitor

LCD-TFT-Farb-Monitor LCD-TFT-Farb-Monitor Art. Nr.: 20508-HD 20512-HD 20514-HD Lesen Sie diese Anleitung vor Inbetriebnahme sorgfältig durch, um eine eventuelle Beschädigung zu vermeiden und um alle Produktvorteile nutzen

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

27-Zoll-Display von Philips

27-Zoll-Display von Philips 27-Zoll PC-Monitor von Philips Low Vision Products by Rolf Brogle 27-Zoll-Display von Philips für klare Schriften und lebendige Bilder Modernes Design Ultraschmaler Rahmen Full HD-Monitor - für beste Schrift-

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 5: Ansteuerung eines VGA-Monitors und Grafikprogrammierung

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 5: Ansteuerung eines VGA-Monitors und Grafikprogrammierung Praktikum Grundlagen von Hardwaresystemen Sommersemester 2010 Versuch 5: Ansteuerung eines VGA-Monitors und Grafikprogrammierung 25. Mai 2010 Fachbereich 12: Informatik und Mathematik Institut für Informatik

Mehr

Sicherheitsvorkehrungen und Wartung Installation / Grundeinstellungen Das Bild auf dem Bildschirm einstellen. Bild Farben Geometrie Lautstärke Setup

Sicherheitsvorkehrungen und Wartung Installation / Grundeinstellungen Das Bild auf dem Bildschirm einstellen. Bild Farben Geometrie Lautstärke Setup Sicherheitsvorkehrungen und Wartung Installation / Grundeinstellungen Das Bild auf dem Bildschirm einstellen Bild Farben Geometrie Lautstärke Setup Den LED-Monitor installieren Unterstützte Auflösung und

Mehr

Das Eingangstaktsignal kann entweder intern oder extern eingespeist werden.:

Das Eingangstaktsignal kann entweder intern oder extern eingespeist werden.: Firmware /FREQ Die /FREQ-Firmware arbeitet als komfortabler 9-MHz-Frequenzzähler (Counter) mit zahlreichen Zusatzfunktionen, wie Digital-I/O, PWM-Ausgang, Puls-/Taktgenerator.und sechs A/D-Eingängen. Digital-I/O

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

Tristate Buffer / erste Module

Tristate Buffer / erste Module IP-Core Generator / Automaten / Platzierung Tristate Buffer / erste Module 27 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 27 November 2009 1 Inhalt IP-Core Generator Implementierung

Mehr

Ablenkgeneratoren. Abschlußbericht CAE-Labor WS 2004/5 Monitor Teil 1 Ablenkgeneratoren. Von Maximilian Schwerin

Ablenkgeneratoren. Abschlußbericht CAE-Labor WS 2004/5 Monitor Teil 1 Ablenkgeneratoren. Von Maximilian Schwerin Ablenkgeneratoren Von Maximilian Schwerin Ausschnitt aus dem Horizontal-Ablenkgenerator Seite 6-1 Impulsform ung für Sync Einstellung Frequenz Analogschalter Operationsverstärker Integrationskondensator

Mehr

Zenit Music

Zenit Music 13.05.2013 (1) Allgemeines (2) Vorwissen(?) (3) Funktionsweise des (4) -RS232 (5) beim ATMEGA 32 13.05.2013 2 Allgemeines 13.05.2013 3 : Universal Asynchronus Reciever and Transmitter 13.05.2013 4 : Universal

Mehr

Integrated HW/SW Systems Group IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Integrated HW/SW Systems Group   IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Simulation / VGA Ansteuerung 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 19 November 2009 1 Inhalt Simulation Erstellen einer Testbench Einrichten der Simulation Durchführen

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Einführung in die Mikrocontrollerprogrammierung

Einführung in die Mikrocontrollerprogrammierung Einführung in die Mikrocontrollerprogrammierung 17. 2. 2014 1 Einführung in die Mikrocontrollerprogrammierung 17. 2. 2014 Wir gehen genau so vor wie im Praktikum. Auf gleiche Weise arbeitet sich auch der

Mehr

High Definition Geräte Anspruch und Wirklichkeit

High Definition Geräte Anspruch und Wirklichkeit Zentrum für Informationsdienste und Hochleistungsrechnen (ZIH) High Definition Geräte Anspruch und Wirklichkeit High Definition Geräte Fokussierung, Anspruch und Wirklichkeit Kameras, Rauschen, Überstrahlung,

Mehr

Ausarbeitung eines Praktikumsversuches zum Design eines 1-Wire-Master-Controllers Falk Niederlein

Ausarbeitung eines Praktikumsversuches zum Design eines 1-Wire-Master-Controllers Falk Niederlein Großer Beleg Ausarbeitung eines Praktikumsversuches zum Design eines 1-Wire-Master-Controllers Falk Niederlein Folie 1 Gliederung 1 Allgemein 2 Architektur 3 1-Wire-Protokoll 4 Praktikumsversuch 5 Zusammenfassung

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

Aufgabe 4: Bildschirmansteuerung

Aufgabe 4: Bildschirmansteuerung Aufgabe 4: Bildschirmanseuerung G. Kemniz, C. Giesemann, TU Claushal, Insiu für Informaik 13. Dezember 2017 Zusammenfassung Vorgegeben is eine einfache Bildschirmanseuerung (VGA-Modus), mi der beispielhaf

Mehr

Bedienungsanleitung FMI-250 Zusatz. ATP Messtechnik GmbH

Bedienungsanleitung FMI-250 Zusatz. ATP Messtechnik GmbH Bedienungsanleitung FMI-250 Zusatz ATP Messtechnik GmbH J. B. von Weiss Strasse 1 D- 77955 Ettenheim Email: info@atp-messtechnik.de Internet: www.atp-messtechnik.de Tel: 0 7822-8624 0 - FAX: 0 7822-8624

Mehr

Digitale Bildübertragung und EDID Management

Digitale Bildübertragung und EDID Management Grundlagen Medientechnik Kommunikation zwischen Quelle und Projektor/Display im Zeitalter von HDMI und HDCP, Informationen zum EDID Management Digitale Bildübertragung und EDID Management 1 Videosignale

Mehr

Medien- Technik. Videotechnik

Medien- Technik. Videotechnik Videotechnik 1 Optimaler Sehabstand Winkel < 1/60 Europa 625 / 50 / 2:1 USA 625 / 59.94 / 2:1 HDTV 1125 / 60 / 2:1 Seitenverhältnis 4:3 trad. 16:9 HDTV Bildwiederholrate muß bei hellem Umfeld höher sein

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

BILDBEARBEITUNG IM UNTERRICHT

BILDBEARBEITUNG IM UNTERRICHT BILDBEARBEITUNG IM UNTERRICHT Grundlagen Farbtiefe Farbmodelle CYMK, RGB Patrick Meier, Wilmatt 2, 637 Root, msib.ch GRUNDLAGEN PHOTOSHOP Ein zentraler Begriff bei Pixelgrafiken ist die Bildauflösung.

Mehr

Elektronikpraktikum. 4 Signalausbreitung auf Leitungen. Hinweise: Fachbereich Physik

Elektronikpraktikum. 4 Signalausbreitung auf Leitungen. Hinweise: Fachbereich Physik Fachbereich Physik Elektronikpraktikum 4 Signalausbreitung auf Leitungen Stichworte zur Vorbereitung: Wellenwiderstand, Leitungstheorie für homogene Leitungen, Leitungsbeläge, Dämpfung, Anpassung, Reflexionsfaktor,

Mehr

Digitale Medien. Vorlesung: Heinrich Hußmann Übung: Renate Häuslschmid. Übung zur Vorlesung

Digitale Medien. Vorlesung: Heinrich Hußmann Übung: Renate Häuslschmid. Übung zur Vorlesung Übung zur Vorlesung Digitale Medien Vorlesung: Heinrich Hußmann Übung: Renate Häuslschmid Wintersemester 2016/17 LZW-Komprimierung Idee: Nicht einzelne Zeichen werden günstig kodiert, sondern ganze Zeichenketten

Mehr

MOPS IPZ Karte 2 Kanäle Impulszähler

MOPS IPZ Karte 2 Kanäle Impulszähler MOPS IPZ Karte 2 Kanäle Impulszähler Funktion 1. Funktion digitaler Multifunktionszähler zur Erfassung einer Impulsfolge, einer Weg- oder Positionsinformation (Inkrementalgeber), eines Zeitintervalls

Mehr

V cc. 1 k. 7 mal 150 Ohm

V cc. 1 k. 7 mal 150 Ohm Ein Digitalvoltmeter Seite 1 von 6 Eine Multiplex-Anzeige Mit diesem Projekt wird das Ziel verfolgt, eine mehrstellige numerische Anzeige für Mikrocontroller-Systeme zu realisieren. Die Multiplex-Anzeige

Mehr

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren

Aufgabe 7.2: Mikroprogramm-Steuerwerk analysieren Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 7. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 7.1: Schritt-Steuerwerk in Verilog Das in der Vorlesung

Mehr

STERNBURG BINGO STERNBURG BINGO UND SO FUNKTIONIERT S: MITMACHEN UND TOLLE PREISE GEWINNEN! RAUM FÜR EIGENE BEMERKUNGEN, GRÜSSE ETC.

STERNBURG BINGO STERNBURG BINGO UND SO FUNKTIONIERT S: MITMACHEN UND TOLLE PREISE GEWINNEN! RAUM FÜR EIGENE BEMERKUNGEN, GRÜSSE ETC. 85 61 19 27 78 52 90 45 03 39 54 88 89 76 21 69 15 94 22 10 24 18 62 79 53 Aufschrift befindet sich eine neue -Zahl. Wenn Sie eine -Zahl aus dem obigen Sobald Sie eine Reihe von 5 - -Zahlen horizontal,

Mehr

Programmieren des ESP8266 mit OLED

Programmieren des ESP8266 mit OLED Willkommen! Und herzlichen Dank für den Kauf unseres AZ-Delivery ESP8266 Mikrocontroller mit integrierten 0.91" OLED Display. Auf den folgenden Seiten gehen wir mit dir gemeinsam die ersten Programmierschritte

Mehr

Design 1. Protokoll. Stefan Krulj, Markus Schütz,

Design 1. Protokoll. Stefan Krulj, Markus Schütz, Design 1 Protokoll Stefan Krulj, 0826564 Markus Schütz, 0725043 Advanced Digital Design Design 1, Stefan Krulj, Markus Schütz Anmerkung Aufgrund diverser Probleme mit dem Development-Board DN8000K10PSX

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

CIS-IBUS Computer Integrations System Anleitung

CIS-IBUS Computer Integrations System Anleitung CIS-IBUS Computer Integrations System Anleitung www.ac-services.eu 1 von 6 info@ac-services.eu Inhaltsverzeichnis 1 Grundlagen... 3 1.1 Einleitung... 3 1.2 Produkteigenschaften... 3 1.3 Gelieferte Komponenten...

Mehr

Willkommen!

Willkommen! Willkommen! Und herzlichen Dank für den Kauf unseres AZ-Delivery SPI 1,8" TFT-Displays! Auf den folgenden Seiten gehen wir mit dir gemeinsam die ersten Schritte vom Anschließen des Displays bis zur Anzeige

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Deutsch. Sicherheitsvorkehrungen und Wartung. Installation / Grundeinstellungen 2. Das Bild auf dem Bildschirm einstellen 2. Funktionen.

Deutsch. Sicherheitsvorkehrungen und Wartung. Installation / Grundeinstellungen 2. Das Bild auf dem Bildschirm einstellen 2. Funktionen. Sicherheitsvorkehrungen und Wartung 1 Installation / Grundeinstellungen 2 Das Bild auf dem Bildschirm einstellen 2 Funktionen 3 Bild 3 Deutsch Geometrie 3 Farbe 4 OSD-Einstellungen 5 Einstellungen 6 Den

Mehr

Bedienungsanleitung für das Tektronix Oszilloskop TDS 2002B

Bedienungsanleitung für das Tektronix Oszilloskop TDS 2002B Bedienungsanleitung für das Tektronix Oszilloskop TDS 2002B 1.0 Darstellen von Spannungsverläufen periodischer Signale Um das Gerät in Betrieb zu nehmen, schalten Sie es zunächst mit dem Netzschalter,

Mehr

High Definition Geräte Anspruch und Wirklichkeit

High Definition Geräte Anspruch und Wirklichkeit Zentrum für Informationsdienste und Hochleistungsrechnen (ZIH) High Definition Geräte Anspruch und Wirklichkeit 10. Workshop des VCC "Videokonferenzen im Wissenschaftsnetz" an der Technischen Universität

Mehr

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R Industrie-Monitor IM149. Ca. 295 x 221x 81 mm (ohne Stecker)

R&R. Ges. für Rationalisierung und Rechentechnik mbh. R&R Industrie-Monitor IM149. Ca. 295 x 221x 81 mm (ohne Stecker) R&R Industrie-Monitor IM149 BxHxT Ca. 295 x 221x 81 mm (ohne Stecker) 1 Gehäuse Frontplatte Sichtscheibe Display Aluminium eloxiert Aluminium natur eloxiert Entspiegeltes Verbundsicherheitsglas 5 mm 10.4

Mehr

Puls-Code-Modulation. Thema: PCM. Ziele

Puls-Code-Modulation. Thema: PCM. Ziele Puls-Code-Modulation Ziele Mit diesen rechnerischen und experimentellen Übungen wird die Vorgehensweise zur Abtastung und linearen Quantisierung eines analogen Signals erarbeitet. Bei der Abtastung werden

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Die Bildschirmauflösung verändern

Die Bildschirmauflösung verändern Die Bildschirmauflösung verändern Bedingt durch seine Technologie liefert ein LCD-Bildschirm immer eine festgelegte Bildschirmauflösung. Für die bestmögliche Anzeigeleistung sollten Sie die maximale Anzeigeauflösung

Mehr

Die digitale Welt. Springer Fachmedien Wiesbaden 2015 V. Brückner, Das globale Netz, essentials, DOI / _2

Die digitale Welt. Springer Fachmedien Wiesbaden 2015 V. Brückner, Das globale Netz, essentials, DOI / _2 Die digitale Welt 2 Wie die Welt von morgen aussehen wird, hängt in großem Maß von der Einbildungskraft jener ab, die gerade jetzt lesen lernen. Astrid Lindgren, Kinderbuchautorin Bis Ende des 20. Jahrhunderts

Mehr

Datennahme-Software für den Versuch 'Szintillatoren'

Datennahme-Software für den Versuch 'Szintillatoren' Datennahme-Software für den Versuch 'Szintillatoren' Diese Anleitung beschreibt die Funktionen der Datennahme-Software (Data Acquisition Sofware, kurz DAQ) für die Versuche F80/81 'Szintillatioren' im

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

Aufgabe 2 -Grafische Messwertdarstellung. Labor Mikrocontroller mit NUC130. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J.Hampel Dipl.-Ing. (FH) A.

Aufgabe 2 -Grafische Messwertdarstellung. Labor Mikrocontroller mit NUC130. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J.Hampel Dipl.-Ing. (FH) A. Aufgabe 2 -Grafische Messwertdarstellung Labor Mikrocontroller mit NUC130 Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) J.Hampel Dipl.-Ing. (FH) A. Reber 22.10.2014 Inhalt 1 Einführung und Grundlagen... 2 1.1

Mehr

Für den CTC-Mode kann demnach TCCR1A komplett auf 0 gesetzt werden, weil WGM11 und WGM10 in diesem Register liegen und beide laut Tabelle 0 sind:

Für den CTC-Mode kann demnach TCCR1A komplett auf 0 gesetzt werden, weil WGM11 und WGM10 in diesem Register liegen und beide laut Tabelle 0 sind: Timerinterrupts beim Arduino Timer 1 (16bit) Register: Bits in den Registern und ihre Bedeutung: Für den CTC-Mode kann demnach TCCR1A komplett auf 0 gesetzt werden, weil WGM11 und WGM10 in diesem Register

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 4 Julian Bergmann, Dennis Getzkow 3. Juli 2013 Versuch 4 1 Einführung Im Versuch 4 sollte sich mit

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites

Praktikum Grundlagen von Hardwaresystemen Sommersemester Versuch 6: Computergrafik und Sprites Praktikum Grundlagen von Hardwaresystemen Sommersemester 2007 Versuch 6: Computergrafik und Sprites 28. Juni 2007 Fachbereich 12: Informatik und Mathematik Institut für Informatik Professur für Technische

Mehr

-1- Handelsschule. Bildschirme. Wirtschaftsinformatik Professorin Michaela Spießberger

-1- Handelsschule. Bildschirme. Wirtschaftsinformatik Professorin Michaela Spießberger -1- Handelsschule Bildschirme Wirtschaftsinformatik Professorin Michaela Spießberger Bettina Kaiser, Vjollca Ismaili 1.B HAS, St. Georgen/Gusen -2- Inhaltsverzeichnis 1. Allgemeines über Bildschirme Seite

Mehr

1. Hauptfunktionen Digitale Kamera, Fotowiedergabe (Dia-Show) und PC Kamera

1. Hauptfunktionen Digitale Kamera, Fotowiedergabe (Dia-Show) und PC Kamera 1 2 1. Hauptfunktionen Digitale Kamera, Fotowiedergabe (Dia-Show) und PC Kamera 2. Beschreibung der Kamera Sucher Linse Auslöser / SET-Taste Ein-/Ausschalter / Modustaste Wiedergabetaste Oben-Taste Unten-Taste

Mehr

Grundlagenwissen Oszilloskope Sampling- vs. Echtzeit-Oszilloskop worauf zu achten ist Autor / Redakteur: Klaus Höing * / Hendrik Härter

Grundlagenwissen Oszilloskope Sampling- vs. Echtzeit-Oszilloskop worauf zu achten ist Autor / Redakteur: Klaus Höing * / Hendrik Härter Grundlagenwissen Oszilloskope Sampling- vs. Echtzeit-Oszilloskop worauf zu achten ist 10.04.14 Autor / Redakteur: Klaus Höing * / Hendrik Härter Echtzeit- oder Sampling-Oszilloskop: Das DSA- X 93204A von

Mehr

Bachelorarbeit. Edris Sahak. SoC-basierte partielle Rekonfiguration einer modularisierten Bildverarbeitungspipeline

Bachelorarbeit. Edris Sahak. SoC-basierte partielle Rekonfiguration einer modularisierten Bildverarbeitungspipeline Bachelorarbeit Edris Sahak SoC-basierte partielle Rekonfiguration einer modularisierten Bildverarbeitungspipeline Fakultät Technik und Informatik Department Informatik Faculty of Engineering and Computer

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

GSOFT2000 I Windows-Software für das Präzisionsthermometer GMH2000

GSOFT2000 I Windows-Software für das Präzisionsthermometer GMH2000 GSOFT2000 I Windows-Software für das Präzisionsthermometer GMH2000 1. Einführung: In Verbindung mit einem PC, einem GMH2000,einem Schnittstellenadapter GRS232 und der Software GSOFT2000 I läßt sich ein

Mehr

Untersuchung digitaler Signalfilter und Implementierung in FPGA für die Modellierung der ATLAS LAr-Kalorimeter-Auslese

Untersuchung digitaler Signalfilter und Implementierung in FPGA für die Modellierung der ATLAS LAr-Kalorimeter-Auslese Untersuchung digitaler Signalfilter und Implementierung in FPGA für die Modellierung der ATLAS LAr-Kalorimeter-Auslese Johann C. Voigt Institut für Kern- und Teilchenphysik 30. Juni 2017 Johann C. Voigt

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Bedienungsanleitung CSM 2 A

Bedienungsanleitung CSM 2 A Bedienungsanleitung CSM 2 A Inhaltsverzeichnis Einführung...1 Spezifikationen...2 Lieferumfang...2 Bedienelemente...3 Anschluss und Inbetriebnahme...3 HDMI Matrix 4x4 Sehr geehrter Kunde! Danke, dass Sie

Mehr

Full HD. Multi Stream Transport (MST) Hub DisplayPort 1.2 Triple Monitor. Monitors from a single DisplayPort 1.2 output

Full HD. Multi Stream Transport (MST) Hub DisplayPort 1.2 Triple Monitor. Monitors from a single DisplayPort 1.2 output Use up to 3x Full HD Monitors from a single DisplayPort 1.2 output Multi Stream Transport (MST) Hub DisplayPort 1.2 Triple Monitor Fortschrittlicher DisplayPort 1.2 auf 3x DisplayPort Splitter für Triple

Mehr

Hardware Logik-Analysatoren

Hardware Logik-Analysatoren Hardware Logik-Analysatoren Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Logik-Analysatoren 1/24 2010-10-12

Mehr

Puls Weiten Modulation (PWM)

Puls Weiten Modulation (PWM) Puls Weiten Modulation (PWM) Bei der Puls-Weiten-Modulation (PWM), wird ein digitales Ausgangssignal erzeugt, dessen Tastverhältnis moduliert wird. Das Tastverhältnis gibt das Verhältnis der Länge des

Mehr

System für Motorsteuergeräte Signal-Simulation

System für Motorsteuergeräte Signal-Simulation µlc Test System Hardware In the Loop Simulation Kurbelwelle System für Motorsteuergeräte Signal-Simulation Die Kolben eines Motors sind durch ein Pleuel mit der Kurbelwelle verbunden. Das heißt eine Kurbelwellenumdrehung

Mehr

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller

Erzeugen von PWM-Signalen mit dem Atmel AVR-Mikrocontroller Fachbereich Elektrotechnik und Informatik Labor für Angewandte Informatik und Datenbanken Praktikum Automatisierung/Echtzeitregelung (BAU/BER) Prof.Dr.-Ing. Coersmeier Erzeugen von PWM-Signalen mit dem

Mehr

Hardwarepraktikum WS 2001/02

Hardwarepraktikum WS 2001/02 Hardwarepraktikum W / Versuch equentielle ysteme III Gruppe 68: enise Baldauf, 474 Thomas Winter, 4778 Michael Grieswald, 496 hemnitz, den.. Aufgabenstellungen zur Vorbereitung Aufgabe >> Beschreiben ie

Mehr

4K-HDMI-Extenderset, 4K2K/60 Hz

4K-HDMI-Extenderset, 4K2K/60 Hz 4K-HDMI-Extenderset, 4K2K/60 Hz Benutzerhandbuch DS-55204 Das Digitus 4K Extender Set, 4K2K/60Hz bietet eine Externderlösung bis 60 m für allerhöchste Ansprüche - sowohl für hochauflösende Grafikdarstellung

Mehr

1000 V (DC oder AC Spitze zu Spitze) Genauigkeit: ±1% ± 2 Digit

1000 V (DC oder AC Spitze zu Spitze) Genauigkeit: ±1% ± 2 Digit www.batronix.com Technische Spezifikationen HDS3102M - Multimeter Funktionen: Spannungsmessung VDC (TRMS): Eingangswiderstand 10 MΩ Bereich 400.0mV Bereich 4.000V Bereich 40.00V Bereich 400.0V 1000 V (DC

Mehr

Abschlußbericht CAE-Labor WS 2004/5 Monitor Teil 1 Sync-Separator. Sync-Separator. Von Anoushirvan Dehghani. Aufgebauter Syncseparator.

Abschlußbericht CAE-Labor WS 2004/5 Monitor Teil 1 Sync-Separator. Sync-Separator. Von Anoushirvan Dehghani. Aufgebauter Syncseparator. Sync-Separator Von Anoushirvan Dehghani Aufgebauter Syncseparator Seite 4-1 Grundsätzliche Einführung zum Thema Sync-Separator: Analoge Videosignale enthalten neben der eigentlichen Bildinformation auch

Mehr

PM4066 Hardwarebeschreibung

PM4066 Hardwarebeschreibung PM4066 Hardwarebeschreibung IBN INGENIEURBÜRO BERND NEUMANN GMBH Eichendorffstraße 23 D-47626 Kevelaer Tel. +49(0)170-813 84 87 Fax +49(0)2 08-4 09 07 08 Internet: http://www.ibn-gmbh.de Email: email@ibn-gmbh.de

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Interrupts im Handbuch des ATmega128 gründlich lesen.

Interrupts im Handbuch des ATmega128 gründlich lesen. 1 Versuch 1 1.1 Ziel Ziel des ersten Versuchs ist es die USART-Schnittstelle kennenzulernen, sowie Assemblerprogramme um eine interaktive Eingabe zu erweitern. Zu diesem Zweck werden vom Praktikums-PC

Mehr

Im Original veränderbare Word-Dateien

Im Original veränderbare Word-Dateien Computergrafik Bilder, Grafiken, Zeichnungen etc., die mithilfe von Computern hergestellt oder bearbeitet werden, bezeichnet man allgemein als Computergrafiken. Früher wurde streng zwischen Computergrafik

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

e-screen 65 Version 1406

e-screen 65 Version 1406 e-screen 65 Version 1406 Modernste Infrarot-Touch- Technologie Anti-Reflexions-Beschichtung Lüfterloses Design Integrierte Lautsprecher Automatische Helligkeitseinstellung Für den professionellen, dauerhaften

Mehr

Welche anlogen Videoverbindungen gibt es? Einfache Videoverbindung FBAS oder auch im englischen Composite Signal :

Welche anlogen Videoverbindungen gibt es? Einfache Videoverbindung FBAS oder auch im englischen Composite Signal : Einfache Videoverbindung FBAS oder auch im englischen Composite Signal : Farb-Bild-Austast-Synchron-Signal Contrik 2012 / page 1 2fache Videoverbindung Y/C, S-VHS,Hi-8, 4pol Mini-DIN oder auch Hosiden

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr