Mögliche Praktikumsaufgaben

Größe: px
Ab Seite anzeigen:

Download "Mögliche Praktikumsaufgaben"

Transkript

1 Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden. Die Soundkarte soll später in der Lage sein PCM-Rohdaten abzuspielen. Dafür existiert bereits ein Treiber für Linux oder FreeRTOS. Die Master-Schnittstelle selbst muss nicht entworfen werden, sie existiert ebenfalls. Aus diesem Grund muss tunlichst darauf geachtet werden, dass alle Schnittstellenangaben (Entity Deklarationen) eingehalten werden, auch um die Kompatibilität zu den Treibern zu gewährleisten. Funktionsweise der Soundkarte Das Akronym PCM, welches Pulse Code Modulation bedeutet, bezeichnet allgemein eine Methode zur Digitalisierung analoger Signal. Bei diesem Verfahren werden kontinuierliche Amplitudenwerte einer Schwingung zu bestimmten Zeitpunkten in digitale Werte umgesetzt und aufgezeichnet. Der Abstand zu jedem Zeitpunkt ist konstant, man spricht daher auch von einer konstanten Aufnahme-Abtastfrequenz. Die Wiedergabe des Sound-Moduls selbst, also die Wandlung der digitalen Werte in ein analoges Tonsignal wird mittels Puls-Weiten-Modulation (PWM) erreicht. Die Wiedergabe-Abtastfrequenz muss allerdings der Aufnahme-Abtastfrequenz entsprechen. Die folgende Abbildung 8.1 zeit die höchste Hierarchie-Ebene der Soundkarte. In diesem Praktikum soll nur das Modul soundcore entworfen werden. Die Master-Logik und das Register Modul existieren bereits in der Hardware- Beschreibungssprache VHDL. Das soundcore Modul welches entworfen werden soll, ist in einer eigenen Entity abgebildet, was vor allem die Verifikation und Simulation sehr vereinfacht. Der soundcore selbst ist wiederum in Untermodule unterteilt. Als erstes Modul ist hier der Adressengenerator zu nennen, welcher Adressen für die Masterlogik erzeugt und die Master-Logik auch zum Abholen von Tonwerten auslösen kann. Der aktuelle Tonwert, welcher von der Masterlogik abholt wurde, muss für eine bestimmte Zeitdauer (Wiedergabe-Abtastfreuquenz) abgespielt werden. Dafür sind das Core-Modul und das Sample-Clock-Modul zuständig. Das Core-Modul erzeugt den analogen Pegel am Lautsprecher mit Hilfe von PWM und das Sample-Clock-Modul erzeugt die Wiedergabe- Abtastfrequenz. Ein Modul bleibt nun übrig im Soundcore: die Control-Unit. Beim Entwurf der Soundkarte wurde sehr hohen Wert auf die spätere Ressourcenauslastung gelegt. Die Idee ist folgende: Man legt zwei Speicherbereiche für die Tondaten im SoC fest. Jeder der beiden Speicherbereich hat zu einem bestimmten Zeitpunkt eine bestimmt Zuständigkeit, während ein Speicherbereich A vom Prozessor befüllt wird, holt sich die Soundkarte die Tondaten aus dem anderen Speicherbereich B. Hat die Soundkarte den momentan Speicherbereich komplett abgespielt, vertauscht man einfach die Zuständigkeit der Speicherbereiche. Nun wird der Speicherbereich B vom Prozessor mit neuen Daten befüllt und die Soundkarte spielt Speicherbereich A ab. Aus diesem Grund ist das Modul Control-Unit nötig. Es enthält eine Zustandsmaschine, dass eben zwischen diesen beiden Adressbereichen 51

2 hin- und herschaltet. Im Rahmen des Praktikums muss die Control-Unit allerdings nicht entworfen werden. Um den Soundcore alle Informationen, die er zum Abspielen benötigt, zu bieten wurde das Register-Modul implementiert. Die Register können von außen adressiert werden und somit beschrieben bzw. gelesen werden. Um die Anbindung an die Master-Logik und an das Register Modul muss sich nicht gekümmert werden, die notwendigen Signale sind bereits verbunden. Wichtig ist hier allderings nochmal, dass alle Entity-Deklarationen eingehalten werden. Abbildung 8.1: Soundkarte Top-Level Schema Aufgabenstellung Die Aufgabenstellung ist für jedes einzelne Modul gleich. 1. Schreiben Sie eine synthetisierbare VHDL-Beschreibung des Moduls. Halten Sie sich dabei genau an die vorgegebene Entity-Deklaration, damit die Module später zusammen passen 2. Erstellen Sie eine Testbench für das Modul, mit der sich das Verhalten vollständig überprüfen lässt. Bauen Sie auch absichtliche Fehler in ihr Modul ein, um die Qualität der Testbench zu überprüfen! 3. Synthetisieren Sie ihr Modul. Betrachten Sie dazu die erzeugt log-datei (Warnungen, Fehlermeldungen, unerwünschte Latches). 52

3 Aufgabe 1: Das Core-Modul Das Core-Modul soll mit Hilfe von Pulse-Weiten-Modulation aus 8-Bit Eingangswerte analoge Pegel am Lautsprecher erzeugen. Dabei wird bei konstanter Wiedergabe-Abtastfrequenz das Tastverhältnis des Signales moduliert, also die Breite (nicht etwa Weite) eines Impulses verändert. Schnittstelle: 1 entity core is 2 port ( 3 sound_data_in : in std_logic_vector (7 downto 0); 4 pwm_out_l : out std_logic; 5 pwm_out_r : out std_logic; 6 clk : in std_logic; 7 enable : in std_logic 8 ); 9 end core; Code 8.1: Die Core-Modul Entity Hinweise: Das Core Modul soll die PWM mit Hilfe eines 8-Bit Zählers realisieren, wobei der Eingang sound_data_in das Tastverhältnis vorgibt. Ist beispielsweise der Eingang sound_data_in mit 50 initialisiert, so soll das Core Modul für 50 Takte von clk eine logische eins an den Ausgängen pwm_out_l und pwm_out_r erzeugen. Nach diesen 50 Takten soll wieder eine logische Null angelegt werden bis der maximale Zählerstand von 255 (8 Bit) erreicht ist. Aufgabe 2: Das Sample-Clock Modul Das Sample-Clock Modul erzeugt den Takt für die Wiedergabe-Abtastfrequenz (beispielsweise 11 khz). Mit dem Ausgangs-Signal ref_trigger dieser Frequenz wird der Tonwert am core Modul verändert. Schnittstelle: 1 entity sample_clock is 2 Port ( 3 sample_rate : in std_logic_vector(31 downto 0); 4 ref_trigger : out STD_LOGIC; 5 clk : in STD_LOGIC; 6 enable : in STD_LOGIC 7 ); 8 end sample_clock; Code 8.2: Die Sample-Clock Entity Hinweise: Das Sample-Clock Modul soll als einfacher Zähler realisiert werden. Der maximale Zählerstand wird mit dem Eingang sample_rate vorgegeben, ist außerdem der maximale Zählerstand erreicht, so soll am Ausgang ref_trigger für einen Takt von clk eine logische Eins anliegen. 53

4 Beispiel-Rechnung zur Bestimmung des sample_rate Wertes für Wiedergabe-Abtastfrequenz von 11kHz: 4545= 50MHz 11kHz = clk Takt Wiedergabe Abtast f requenz Aufgabe 3: Der Adressengenerator Der Adressengenerator wird ab sofort auch pass through Modul genannt, da er die Adresse des nächsten zu spielenden Tonwert an die Master-Logik durchreicht. Das pass through Modul startet außerdem die Master- Transaktion um den Tonwert von der entsprechenden Adresse abzuholen. Schnittstelle: 1 entity pass_through is 2 Port ( 3 clk: in std_logic; 4 address_start: in std_logic_vector(31 downto 0); 5 a_length: in std_logic_vector(31 downto 0); 6 enable: in std_logic; 7 load: in std_logic; 8 master_busy: in std_logic; 9 enable: in std_logic; 10 go: out std_logic; 11 address_out: out std_logic_vector(31 downto 0); 12 address_done: out std_logic 13 ); 14 end pass_through; Code 8.3: Die Adressengenerator Entity Hinweise/Funktionsweise: Der Adressengenerator soll ebenfalls als Zähler realisiert werden, welcher immer um Eins inkrementiert. Zu Beginn müssen die Startadresse (address_start) und die Länge (a_length) des Speicherbereichs, von dem die Tonwerte gelesen werden sollen, mit dem load-signal geladen werden. Das erzeugen einer neuen Adresse address_out, sprich das Inkrementieren, soll erfolgen, sobald das Signal start, welches der Freigabe der Zustandsmaschine entspricht, eine logische Eins aufweist. Zusätzlich soll nur mit dem Takt der Wiedergabe-Abtastfrequenz (enable) eine neue Adresse generiert werden. Ist außerdem das Ende des Speicherbereichs erreicht, so muss das der Zustandsmaschine mitgeteilt werden, indem das Signal address_done auf eine logische Eins gesetzt wird. Die Zustandsmaschine reagiert darauf indem sie das start-signal weg nimmt und somit den Adressengenerator anhält. Ist das Ende des Speicherbereichs allerdings noch nicht erreicht, so muss die Master-Logik, sofern sie nicht beschäftigt ist (master_busy), ausgelöst (go) werden. Ist die Master-Logik nicht beschäftigt, so wird der entsprechende Wert von der Adresse address_out abeholt. War die Master-Logik belegt, so muss diese in den folgenden Systemtakten (clk) abgefragt werden und sobald sie frei ist gestartet werden. 54

5 Aufgabe 4: Das Soundcore Modul Betrachten Sie nun das gegebene soundcore Modul und überprüfen Sie, ob ihre Entity-Deklarationen mit denen des soundcore übereinstimmen. Nun soll das Sound-Modul im Ganzen simuliert und getestet werden. Schreiben Sie dafür eine Testbench, als Vorlage können Sie die Auszüge einer Testbench im Anhang verwenden. Die Vorlage besitzt zwei Prozesse, zum einen Prozess, der die Master-Logik nachstellt und zum anderen einen Prozess, welcher für die Takt-Erzeugung zuständig ist. Die Testbench könnte nun im Hauptprogramm folgendermaßen Ablaufen. Man definiert sich ein Speicherabbild und befüllt diesen mit zufälligen Werte. Die Definition könnte bespielsweise wie folgt aussehen: type t_memory is array (0 to 256) of std_logic_vector(31 downto 0); signal mem_content : t_memory := ( 16#0000# => x" ", 16#0001# => x" ", ); Anschließend initialisiert man das Sound-Modul mit Standard-Werten und startet es. Man könnte nun beispielsweise im Hauptprogramm der Testbench überprüfen, ob die Daten vom Speicherabbild identisch mit denen sind, was das soundcore-modul abgeholt hat. Eine Alternative wäre allerdings auch die Signale im Waveform-Editor zu überprüfen. Finden Sie nun einen geeignet Weg um das Sound-Modul zu testen. 55

6 Anhang Vorlage für die Testbench 1 -- process is simulating a master memory access 2 memory : process 3 begin 4 wait on go; 5 if go = 1 then 6 master_busy <= 1 ; 7 wait for mem_delay; 8 sound_data_in <= mem_content (conv_integer (address_out)); 9 master_busy <= 0 ; 10 end if; 11 end process; Clock process definitions 14 clk_process : process 15 begin 16 clk <= 0 ; 17 wait for clk_period/2; 18 clk <= 1 ; 19 wait for clk_period/2; 20 end process; Hauptprogramm 23 stim_proc : process 24 begin Beispiel zur Initialisierung 26 enable_global_intr <= 0 ; 27 sample_rate <= x" a"; 28 wait_on_completion_n <= 1 ; 29 address_1_in <= x" "; 30 address_2_in <= x" "; 31 length_in <= x" a"; 32 wait for 10 ns; 33 start <= 1 ; fügen sie geeignete Testmuster ein wait for clk_period*100; 38 wait; 39 end process; 40 end; Code 8.4: Vorlage für die Testbench 56

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

AVR-Mikrocontroller in BASCOM programmieren, Teil 3

AVR-Mikrocontroller in BASCOM programmieren, Teil 3 jean-claude.feltes@education.lu 1/8 AVR-Mikrocontroller in BASCOM programmieren, Teil 3 Alle Beispiele in diesem Kapitel beziehen sich auf den Mega8. Andere Controller können unterschiedliche Timer haben.

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Installation des USB HD-Audio Treibers 24/192 im asynchronen Mode (XMOS-Plattform) Einstellungen des Betriebssystems

Installation des USB HD-Audio Treibers 24/192 im asynchronen Mode (XMOS-Plattform) Einstellungen des Betriebssystems Installation des USB HD-Audio Treibers 24/192 im asynchronen Mode (XMOS-Plattform) Einstellungen des Betriebssystems 1 Mac OS X 2 Windows 7 3 Windows Vista 4 Windows XP 5 Tipps Installationsanleitung XMOS

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

M-AX-16/C1 (Typ 37) Inhaltsverzeichnis 18-1 18. M-AX-16/C1

M-AX-16/C1 (Typ 37) Inhaltsverzeichnis 18-1 18. M-AX-16/C1 MAX6/C (Typ 37) Inhaltsverzeichnis 8 8. MAX6/C Zählermodul mit 3 Zählkanälen à 2Bit und integriertem InterruptController, Betriebsartenumschaltung per Software Funktionsbeschreibung 82 Blockschaltbild...

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge

Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Automatische Boundary Scan Testgenerierung für scanunfähige Schaltungspartitionen durch modellbasierte Werkzeuge Martin Borowski, GÖPEL electronic GmbH GÖPEL electronic GmbH 2014 Boundary Scan Testprogrammerstellung

Mehr

Installation des USB HD-Audio Treibers 24/192 (Tenor-Plattform) Einstellungen des Betriebssystems

Installation des USB HD-Audio Treibers 24/192 (Tenor-Plattform) Einstellungen des Betriebssystems Installation des USB HD-Audio Treibers 24/192 (Tenor-Plattform) Einstellungen des Betriebssystems 1 Mac OS X 2 Windows 7 3 Windows Vista 4 Windows XP 5 Tipps Installationsanleitung Lindemann TENOR Plattform

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Memory-Mapped-IO. Kapitel 4

Memory-Mapped-IO. Kapitel 4 Kapitel 4 Memory-Mapped-IO In dieser Übung soll das bereits von Ihnen entwickelte programmierbare PWM- Modul an den Nios II Prozessor angebunden und dann per Software gesteuert werden. Altera stellt dafür

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382

8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 8.0 Erweiterung mit dem 8 Bit D/A Maxim MAX5382 Der MAX5382 ist ein 8 Bit DA Wandler im SOT23 Gehäuse. Der MAX5380 besitzt eine interne Referenzspannung von 2 V, der MAX5381 von 4 Volt und der verwendete

Mehr

Interrupt-Programmierung

Interrupt-Programmierung Interrupt-Programmierung Am Beispiel des ATMEGA16 Microcontrollers Beispiel: Messung der Betriebszeit Die Betriebszeit zeigt an, wie lange der Rechner seit dem Booten läuft Hier: Aktualisierung der Betriebszeit

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungsanalyse Dipl.-Ing. e-mail: rgerndt@iam.de Seite ANA/1 Analyse - Übersicht Überprüfen des Entwurfs auf: - Funktion - Zeitverhalten - Kosten - Leistungsaufnahme - EMV -... Vergleich der Spezifikation

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Zähler- und Zeitgeber-Baugruppen

Zähler- und Zeitgeber-Baugruppen Zähler- und Zeitgeber-Baugruppen Sinn: häufig müssen Zeitbedingungen eingehalten werden z.b.: einige ms warten, Häufigkeit von Ereignissen zählen etc... Lösung: 1.) Zeitschleifen = Programm abarbeiten,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Installation & erste Schritte

Installation & erste Schritte Installation & erste Schritte Inhalt: Vorraussetzung: PC oder Notebook, Windows (XP), Pappradio, serielle Schnittstelle eingebaut oder per Wandler. 1. Installation: 1.1 Pappradio Software installieren

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Smart Metering: Entwicklung eines intelligenten Stromzählers

Smart Metering: Entwicklung eines intelligenten Stromzählers Smart Metering: Entwicklung eines intelligenten Stromzählers Boppard, Echtzeit 2010 Steffen Mauch Dirk Benyoucef 19. Nov. 2010 DCSP-Lab Gliederung 1 Kontext 2 Arbeit 3 Ergebnisse / Bewertung 4 Zusammenfassung

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

NANO III - MSR. Signalabtastung Analog Digital Converter (ADC) Digital Analog Converter (DAC) Themen: DAC

NANO III - MSR. Signalabtastung Analog Digital Converter (ADC) Digital Analog Converter (DAC) Themen: DAC NANO III - MSR Themen: Signalabtastung Analog Digital Converter (ADC) A ADC D Digital Analog Converter (DAC) D DAC A Nano III MSR Physics Basel, Michael Steinacher 1 Signalabtastung Praktisch alle heutigen

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

In diesem Dokument soll kurz auf die Bedienung von VST3PluginTestHost.exe eingegangen werden.

In diesem Dokument soll kurz auf die Bedienung von VST3PluginTestHost.exe eingegangen werden. Hochschule für Angewandte Wissenschaften Hamburg Hamburg University of Applied Sciences Fakultät Technik und Informatik Department Informations- und Elektrotechnik Projekt E7 im Bachelorstudiengang Informations-

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Modulation. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 104

Modulation. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 104 Modulation Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 104 Datenfernübertragung I Über kurze Entfernungen können Daten über Kupferkabel übertragen werden, indem jedes Bit mit einer positiven

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

Audiosignalverarbeitung mit FPGA

Audiosignalverarbeitung mit FPGA Audiosignalverarbeitung mit FPGA Oswald Berthold Humboldt-Universität zu Berlin Institut für Informatik Lehrstuhl Signalverarbeitung und Mustererkennung 2. März 2010 Oswald Berthold Audiosignalverarbeitung

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Übung zur Einführung in die digitale Signalverarbeitung

Übung zur Einführung in die digitale Signalverarbeitung Übung zur Einführung in die digitale Signalverarbeitung Übungseinheit 1 1 Einführung in die Entwicklungsumgebung 1.1 Code Composer Studio 1.2 Komponenten eines ausführbaren Programms 1.3 Programmausführung

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------ Beschreibung: M O D U L 1 SPEICHERVERWALTUNG AC 1 ------------------------------------------------------------- Weiterhin Anleitung: Programmpaket X V.2 -------------------------------------------------------------

Mehr

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM GinLink-News Feldbus-Controller GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM Technische Daten GIN-SAM3 610736800 Schnittstellen 2

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Maschinenorientierte Programmierung

Maschinenorientierte Programmierung Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 2 Termin 10, 08.12.2015 Maschinenorientierte Programmierung Seite 2 Timer- und Counter-Programmierung Maschinenorientierte

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll. Jan Nabbefeld erstellt: 5. Juli 2002

Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll. Jan Nabbefeld erstellt: 5. Juli 2002 Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll André Grüneberg Jan Nabbefeld erstellt: 5. Juli 2002 1 Schaltplaneingabe und Schaltungsimulation 1.1 NAND-Gatter Aufgabe war es, NAND-Gatter

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

Handbuch FERROFISH A16 MK-II. professioneller 16-Kanal AD/DA Wandler. advanced audio applications

Handbuch FERROFISH A16 MK-II. professioneller 16-Kanal AD/DA Wandler. advanced audio applications Handbuch A16 MK-II professioneller 16-Kanal AD/DA Wandler FERROFISH advanced audio applications Ferrofish GmbH Version 1.1 Einleitung Einleitung Vielen Dank, daß Sie sich für unser Produkt entschieden

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Digital Audio Decoder. Modell DC 5.1. e11 10R-021817 SURROUND PRO LOGIC SURROUND. Bedienungsanleitung

Digital Audio Decoder. Modell DC 5.1. e11 10R-021817 SURROUND PRO LOGIC SURROUND. Bedienungsanleitung Digital Audio Decoder Modell DC 5.1 e11 10R-021817 SURROUND SURROUND PRO LOGIC Bedienungsanleitung Einleitung Vielen Dank, dass Sie sich für den HiFonics Digital Audio Decoder DC5.1 entschieden haben.

Mehr

GeoPilot (Android) die App

GeoPilot (Android) die App GeoPilot (Android) die App Mit der neuen Rademacher GeoPilot App machen Sie Ihr Android Smartphone zum Sensor und steuern beliebige Szenen über den HomePilot. Die App beinhaltet zwei Funktionen, zum einen

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 1 OSI Schichtenmodell Systeme der Nachrichtentechnik werden häufig mittels des OSI-Referenzmodells charakterisiert. a) Benennen Sie die Schichten des OSI-Referenzmodells!

Mehr

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil IV: Programmieren an Beispielen Die

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

IRF2000, IF1000 Application Note ModbusTCP API

IRF2000, IF1000 Application Note ModbusTCP API Version 2.0 Original-Application Note ads-tec GmbH IRF2000, IF1000 Application Note ModbusTCP API Version 2.0 Stand: 28.10.2014 ads-tec GmbH 2014 IRF2000 IF1000 2 Inhaltsverzeichnis 1 Einführung... 3 2

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Realisierung digitaler Filter FHTW-Berlin Prof. Dr. F. Hoppe 1

Realisierung digitaler Filter FHTW-Berlin Prof. Dr. F. Hoppe 1 Realisierung digitaler Filter FHTW-Berlin Prof. Dr. F. Hoppe System zur digitalen Signalverarbeitung: Signal- Quelle AAF ADC DAC RCF DSP Po rt Po rt Signal- Ziel Das Bild zeigt ein allgemeines System zur

Mehr

Datenübertragung. Vorlage für den Informatikunterricht. Mag. Otto Dolinsek

Datenübertragung. Vorlage für den Informatikunterricht. Mag. Otto Dolinsek Mag. Otto Dolinsek Übertragungsprinzip ISDN ADSL Mobilfunk Klassisches Übertragungsprinzip Beim klassischen Übertragungsprizip im Fernsprechnetz werden Daten analog übertragen. Die Frequenz der menschlichen

Mehr

CA Übung 30.01.2006. Christian kann heute nicht kommen => ich bin heute da, Christian das nächste Mal wieder

CA Übung 30.01.2006. Christian kann heute nicht kommen => ich bin heute da, Christian das nächste Mal wieder CA Übung 30.01.2006 Hallo zusammen! Christian kann heute nicht kommen => ich bin heute da, Christian das nächste Mal wieder Adrian Schüpbach: scadrian@student.ethz.ch Christian Fischlin: cfischli@student.ethz.ch

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Betriebssysteme Aufgaben Management von Ressourcen Präsentation einer einheitlichen

Mehr

Mod_com.lib. Inhalt. WAGO-I/O-PRO 32 Bibliothek

Mod_com.lib. Inhalt. WAGO-I/O-PRO 32 Bibliothek Anhang A Weitere Bibliotheken WAGO-I/O-PRO 32 Bibliothek Mod_com.lib Inhalt ADD_DESC (Datentyp)...2 ADD_PI_INFORMATION...4 CRC16...6 FBUS_ERROR_INFORMATION...7 GET_DIGITAL_INPUT_OFFSET...8 GET_DIGITAL_OUTPUT_OFFSET...10

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr