N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, VHDL Formelsammlung

Größe: px
Ab Seite anzeigen:

Download "N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung"

Transkript

1 VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE SIGNAL: VARIABLE: CONSTANT 2 2 DATENTYPEN selbstdefinierte Aufzähltypen (Deklaration) Physikalische Datentypen Untertypen Array 3 3 DEKLARATION VON VARIABLEN, KONSTANTEN UND SIGNALEN 4 4 ATTRIBUTE 4 5 ZUWEISUNG 4 6 SPEZIELLE ZUWEISUNGEN BEI SIGNALEN Inertial (entspricht blankem AFTER) Transport Reject Mehrfachzuweisungen Spezielle Zuweissungen zur Verhaltensmodellierung Selected Assignment: WITH..SELECT..WHEN-Anweisung Conditional Assignment: WHEN..ELSE-Anweisung 5 7 MODELLE Entity Architectures Architecture (structural) Architecture (behavioral) Architecture (sequentiell) Configuration sequential & behavioural: structural: 8 8 SEQUENTIELLE STATEMENTS Der Prozeß IF-Anweisung CASE-Anweisung LOOP-Anweisung NEXT-Anweisung EXIT-Anweisung ASSERT-Anweisung WAIT-Anweisung 10 9 WEITERE ANWEISUNGEN LIBRARY-Anweisung USE Package bzw Package Body GENERIC LOGISCHE OPERATOREN 11 - VHDL-Formel / Seite 1.1 -

2 VHDL-BEFEHLE 1 Datenobjekte 1.1 SIGNAL: SIGNAL signal_name :datentyp ; Können deklariert werden im Deklarationsteil von Entities Architectures Packages Stellen mitunter Verbindungen zwischen ENTITIES her. 1.2 VARIABLE: VARIABLE variablen_name :datentyp ; Zuweisung sofort. Können deklariert werden im Deklarationsteil von Prozessen (zwischen PROCESS und BEGIN) Unterprogrammen 1.3 CONSTANT CONSTANT constant_name : datentyp ; Können deklariert werden im Deklarationsteil von Entities Architectures Packages 2 Datentypen können deklariert werden im Deklarationsteil von Packages Entities Architectures vordefiniert: BIT: 0, 1 REAL: Gleitpunktzahlen (um 0 symmetrisch) INTEGER: ganze Zahlen (4 Byte) CHARACTER: 0-9, a - z, A - Z BOOLEAN: true, false Std_logic: U, X, 0, 1, Z, W, L, H, -, TIME: Zeit in fs, ps, ns, us, ms, sec, min, hr - VHDL-Formel / Seite 1.2 -

3 2.1 selbstdefinierte Aufzähltypen (Deklaration) TYPE Typname IS (Element1, Element2, Element3); Deklaration eines Aufzähltys mit den Elementen Element1, Element2, Element Physikalische Datentypen PORMAT: TYPE TypName IS RANGE Wert1 TO Wert2 UNITS Einheit1; Einheit2 = 1000 Einheit1; Einheit3 = 1000 Einheit2; END UNITS; Legt eine physikalische Größe fest. Einheit1 ist die kleinste mögliche Angabe (z. B. pico). 2.3 Untertypen SUBTYPE Name IS Typname RANGE Wert1 TO Wert2; Deklaration eines Untertyps aus dem Bereich [Wert1,Wert2] des Typs Typname. 2.4 Array Vordefiniert: String, Bit_Vector, Std_Logic_Vector TYPE arrayname IS ARRAY (Wert1 TO Wert2) OF DatenTyp; TYPE arrayname IS ARRAY (SpezDatentyp) OF DatenTyp; MEHRDIMENSIONAL: TYPE arrayname IS ARRAY (Wer1 TO Wert2, Wert3 TO Wert4) OF DatenTyp; Deklariert ein Array. SpezDatenTyp ist ein Aufzähltyp mit einer festgelegten Anzahl von Elementen (kann auch selbst definiert sein). Die Indizes des Arrays sind dann die Elemente von SpezDatenTyp. Inhalt des Arrays sind vom Typ DatenTyp. Auch möglich: Änderung der sequentiellen Abfolge: TYPE arrayname IS ARRAY (Wert_hi DOWNTO Wert_lo) OF DatenTyp; - VHDL-Formel / Seite 1.3 -

4 3 Deklaration von Variablen, Konstanten und Signalen VARIABLE VarName1, VarName2: Datentyp1; VARIABLE VarName3: Datentyp2 :=Wert; CONSTANT ConstName: Datentyp:= Wert; SIGNAL SigName1, SigName2: Datentyp1; SIGNAL SigName3: Datentyp2; Definition von Variablen, Konstanten bzw. Signalen, die im Programm verwendet werden. Variablen können nur direkt nach der Process-Anweisung definiert werden (vor begin!).signale können innerhalb eines Package, einer architecture oder als Port einer Entity deklariert werden. 4 Attribute... left... right... high... low... length... range... reverse_range signal event signal active signal last_event signal last_value signal last_active linke Grenze rechte Grenze obere Grenze untere Grenze Länge eines Arrays Bereich Bereich umgekehrt true, wenn Ereignis true, wenn Signalzuweisung Zeitdifferenz zur letzten Signaländerung Wert vor der letzten Signaländerung Zeitdifferenz zur letzten Signalzuweisung 5 Zuweisung VarName := Wert; SigName <= Wert [AFTER Zeitwert]; Zuweisung eines bestimmten Wertes zu einer Variable bzw. zu einem Signal. Bei einem Signal kann angegeben werden, nach welcher Zeit (Zeitwert), der Wert (hier konstant) übernommen werden soll. Beachte: Variablenzuweisungen im Process werden sofort ausgeführt. Signalzuweisungen nur geplant im Process sollten grundsätzlich zuerst die Variablenzuweisungen und dann die Signalzuweisungen gemacht werden! Variablen sind nicht nach außen sichtbar oder weiterverwertbar. - VHDL-Formel / Seite 1.4 -

5 6 Spezielle Zuweisungen bei Signalen 6.1 Inertial (entspricht blankem AFTER) SigName2 <= [INERTIAL] SigName1 AFTER Zeitwert; SigName1 wird von SigName2 nach Zeitwert übernommen, vorausgesetzt SigName1 lag für die Dauer von Zeitwert an. SigName1 kann auch ein konstanter Wert sein. 6.2 Transport SigName2 <= TRANSPORT SigName1 AFTER Zeitwert; SigName2 übernimmt SigName1 um die Zeit Zeitwert verschoben. 6.3 Reject SigNam2 <= REJECT Zeitwert1 INERTIAL SigName1 AFTER Zeitwert2; SigName1 wird um Zeitwert2 versetzt von SigName2 übernommen, wenn SigName1 mindestens für die Dauer von (Zeitwert2-Zeitwert1) anlag. 6.4 Mehrfachzuweisungen SigNam1 <= Expression1 AFTER Zeitwert1, expression2 AFTER Zeirtwert2,... ; 6.5 Spezielle Zuweissungen zur Verhaltensmodellierung Conditional und selected assignment für multiple drivers in nebenläufiger Umgebung beim behavioural modelling Selected Assignment: WITH..SELECT..WHEN-Anweisung WITH Datenobjekt SELECT Zielausdruck <= Ausdruck1 WHEN Wert_1, Ausdruck2 WHEN Wert_2,... AusdruckX WHEN OTHERS; Hinter WHEN stehen die Inhalte des Datenobjekts (= Bedingung), für die dem Zielausdruck der entsprechende Ausdruck zugewiesen wird. WHEN OTHERS kann entfallen Conditional Assignment: WHEN..ELSE-Anweisung ZielAusdruck <= Ausdruck1 WHEN Bedingung1 ELSE Ausdruck2 WHEN Bedingung2 ELSE... AusdruckX WHEN BedingungX ELSE AusdruckY Hinter WHEN steht die Bedingung, für die der entsprechende Ausdruck dem ZielAusdruck zugewiesen wird. Entspricht einer IF-Anweisung. - VHDL-Formel / Seite 1.5 -

6 7 Modelle bestehen i.d.r. aus drei Grundelementen: ENTITY: entspr. Schaltplansymbol mit nach außen sichtbaren Signalen ARCHITECTURE: entspr. funktionsmäßigem Innenaufbau einer ENTITY CONFIGURATION: weist einer ENTITY eine best.(von evtl. mehreren Varianten einer) ARCHITECTURE zu. 7.1 Entity ENTITY Bauteil1 IS PORT (Eingang1,Eingang2,..., EingangN: IN BIT; Ausgang1, Ausgang2,..., AusgangM: OUT BIT); -- IN OUT INOUT BUFER END ENTITY Bauteile; Beschreibt eine Bauform (z.b. NAND) anhand seiner Ein- und Ausgänge. Statt Out kann auch Inout oder Buffer verwendet werden (bei Rückkopplung). Vor PORT kann mit der Generic-Anweisung ein Parameter übergeben werden. 7.2 Architectures Grundsätzlich drei verschiedene Möglichkeiten, eine Architecture zu beschreiben: structural: Struktur (oder Schaltplan) aus anderen ENTITIES behavioural: Verhaltensmäßig aus logischen Grundverknüpfungen: sequential: sequ. in Form eines Prozesses: Architecture (structural) ARCHITECTURE arc_bauteil2_str OF Bauteil2 IS Signal- und Konstantendeklaration; COMPONENT Bauteil1 IS PORT (Eingang1,Eingang2,..., EingangN: IN BIT; Ausgang1, Ausgang2,..., AusgangM: OUT BIT); END COMPONENT; COMPONENT Bauteil2... END COMPONENT; BEGIN Name1: Bauteil1 PORT MAP (Eingangssignale, Ausgangssignale);... NameN: Bauteil1 PORT MAP (Eingangssignale, Ausgangssignale); END ARCHITECTURE arc_ Bauteil2_str; Beschreibt das Verhalten einer Bauform strukturell mit Hilfe der Bauteile, aus denen die Bauform besteht (sind vorher definierte ENTITIES). - VHDL-Formel / Seite 1.6 -

7 Die Namensgebung arc_bauteil2_str ist nicht zwingend. So erkennt man jedoch auf einen Blick, daß hier die Architektur des Bauteils Bauteil2 strukturell beschrieben wird. In der COMPONENT-Anweisung werden die Bauteile aufgeführt, aus denen das Bauteil Bauteil2 besteht, also in diesem Fall aus Bauteil1. Name1- NameN sind die Bezeichnungen in der Gesamtschaltung für Bauteil1. Hinter der PORT MAP vor dem Strichpunkt kann mit GENERIC MAP bzw AFTER (siehe 10. Generic) ein Aktualparamter übergeben werden, wenn der Formalparameter in der Entity der Komponente und in der Architecture in der Component-Anweisung definiert wurde Architecture (behavioral) ARCHITECTURE arc_bauteil2_beh OF BauteilName2 IS Signal-und Konstantendeklaration; BEGIN Ausgangssignal1<= Verknüpfung von Signalen AFTER Zeitwert1; Ausgangssignal2<= Verknüpfung von Signalen AFTER Zeitwert2; END ARCHITECTURE arc_bauteil2_beh; Hier wird das Verhalten einer Bauform durch logische Verknüpfung der Eingangssignale beschrieben. Zeitwert1 und Zeitwert2 geben die Verzögerungszeiten an. Die Signale stellen zugleich die Sensitivity-List der entspr. Befehlszeile dar Architecture (sequentiell) ARCHITECTURE arc_bauteil_seq OF BauteilName IS Signal- und Konstantendeklarationen; BEGIN PROCESS(sensitivity list) Variablendeklarationen; BEGIN Variablenzuweisungen; sequentielle Anweisungen; END PROCESS; END ARCHITECTURE arc_bauteil_seq; Beschreibt das Verhalten einer Bauform sequentiell in einem Process. Der Process läuft erst ab, wenn mindestens ein Signal in der Sensitivity Liste (siehe unter Punkt 7 Process) sich ändert. Zur Beschreibung der sequentiellen Anweisungen siehe Punkt 7 Sequentielle Statements. - VHDL-Formel / Seite 1.7 -

8 7.3 Configuration Wird die CONFIGURATION weggelassen, wird die zuletzt compilierte ARCHITECTURE der ENTITY zugewiesen sequential & behavioural: CONFIGURATION con_bauteil OF Bauteil IS FOR arc_bauteil_xxx END FOR; END con_bauteil; Sind im Quelltext mehrere Verhaltensbeschreibungen (architecture) eines Bauteils vorhanden, so wird mit der Configuration-Anweisung entschieden, welche davon in der Simulation verwendet werden soll. Bauteil: Name in der Entity. Beachte: Gilt nur für behavioral oder sequentiell beschriebenes Bauteil structural: CONFIGURATION con_bauteil OF Bauteil IS FOR arc_bauteil_str FOR label,label2,...: Bauteil1 USE ENTITY WORK.EntName(ArcName); END FOR; oder: FOR ALL: Bauteil1 USE ENTITY WORK.EntName(ArcName); END FOR; oder: FOR ALL: Bauteil1 USE CONFIGURATION WORK.con_name; END FOR; END FOR; END CONFIGURATION con_bauteil; Für strukturelle Architektur. EntName: BibName.EntNameInBib(ArcNameInBib) Bibliothek muß auch angegeben werden, wenn die Entity in der aktuellen Datei steht (WORK). 8 Sequentielle Statements 8.1 Der Prozeß [label:] PROCESS (signal1, signal2,...) -- sensitivity list Variablendeklarationen; BEGIN Variablenzuweisungen; sequentielle Anweisungen; END PROCESS [label]; Der Process muß immer eine Sensitivity Liste oder! ein Wait-Statement haben. Sequentielle Anweisungen: siehe unten. Signale können im Process nicht als Zwischenspeicher für Werte benutzt werden. Es sollte im Process nur eine einzigen Zuweisung pro Signal vorkommen. Alle Processe sind parallel aktiv. Ist ein Process zu Ende, so beginnt die Abarbeitung von vorn, sobald die Bedingung (sensitivity list) erfüllt ist. - VHDL-Formel / Seite 1.8 -

9 8.2 IF-Anweisung IF Bedingung THEN Anweisungen; [ELSIF Anweisungen;] [ELSE Anweisungen; ] END IF; -- mehfach möglich 8.3 CASE-Anweisung CASE Ausdruck IS WHEN Ausdruck1 => Anweisung1; WHEN Ausdruck2 => Anweisung2;.. WHEN OTHERS => Anweisung; END CASE; Hinter WHEN stehen die Möglichkeiten der Werte, die Ausdruck annehmen kann. WHEN OTHERS kann entfallen. 8.4 LOOP-Anweisung Format: WHILE Bedingung LOOP Anweisungen; END LOOP; oder FOR Var IN Wert1 TO Wert2 LOOP Anweisungen; END LOOP; oder LOOP Anweisungen; END LOOP; Schleife: Statt to kann auch downto verwendet werden. Eine Schleife kann mit Exit verlassen werden, mit Next kann eine Schleifenrunde übersprungen werden. FOR: Wert muß nicht extra vorher deklariert werden. Existiert eine Variable mit dem Namen Var, so kann für die Dauer der FOR-Schleifer auf sie nicht! zugegriffen werden. 8.5 NEXT-Anweisung NEXT WHEN Bedingung; Die Anweisungen bis zum nächsten End Loop werden übersprungen, wenn die Bedingung eintritt. Die Angabe einer Bedingung ist optional; wird sie weggelassen, entfällt auch When. Es ist auch möglich zwischen Next und When ein Loop Label anzugeben, an das gesprungen werden soll. - VHDL-Formel / Seite 1.9 -

10 8.6 EXIT-Anweisung EXIT loop label WHEN Bedingung; Beschreibung Verläßt eine Schleife. Die Angaben des Loop Labels und der Bedingung sind optional. Bei Weglassen der Bedingung entfällt das When. 8.7 ASSERT-Anweisung ASSERT Bedingung [REPORT Text;] [SEVERITY Ausdruck;] Ausgabe von Meldungen. Wenn die Bedingung nicht zutrifft, wird Text ausgegeben und Ausdruck, wobei Ausdruck entweder NOTE oder WARNING oder ERROR oder FAILURE sein kann (WARNING: niedrigste -. FAILURE: höchste severity). 8.8 WAIT-Anweisung WAIT ON signal; oder WAIT UNTIL true; oder WAIT FOR Zeit; Ein Process wartet auf Ereignis. Bei On wird auf eine Signaländerung gewartet, bei Until darauf, daß eine Bedingung gültig wird, bei For, daß Zeit abgelaufen ist. Der Process darf keine Sensitivity List enthalten. 9 Weitere Anweisungen 9.1 LIBRARY-Anweisung LIBRARY LibName1, LibName2...; ZUGRIFF: LibName.PackName.WertName -- z.b. ieee Der Befehl dient dazu, die Bibliothek bekanntzumachen, in der ein benötigter Wert (in einem Package) definiert ist. Er kann vor Entity, Architecture, Configuration, Package und Package Body stehen. Ohne diese Anweisung schon bekannt sind STD und WORK (aktuelle Arbeitsdatei). - VHDL-Formel / Seite

11 9.2 USE USE LibName.PackName.ALL -- z.b. ieee.std_logic_1164.all Damit können alle Deklarationen bzw Definitionen aus der Package PackName in der Bibliothek LibName benutzt werden, ohne daß der komplette Zugriffsname (siehe LIBRARY) verwendet werden muß. Es genügt die Bezeichnung, die in PackName direkt verwendet wird. 9.3 Package bzw Package Body PACKAGE PackName IS Deklarationen; END PackName; PACKAGE BODY PackName IS Definitionen; END PackName; Package enthält die Deklaratione, Package Body die Implementierungen. 10 Generic GENERIC (GenericName : TypName := Wert); oder GENERIC (GenericName : TypName); Initialisierung: GENERIC MAP (Wert); Übergibt einen Parameter in Entity (deklariert direkt hinter der ENTITY Anweisung vor PORT ) Architecture (in COMPONENT) Package (in COMPONENT) Initialisierung in der Architecture mit AFTER, wenn Wert schon vorher festgelegt? wie oben, wenn Wert noch nicht festgelegt 11 Logische Operatoren NOT ( Ausdruck) (Ausdruck1) AND (Ausdruck2) oder Bez1 AND Bez2 (Ausdruck1) OR (Ausdruck2) oder Bez1 OR Bez2 NAND NOR XOR - VHDL-Formel / Seite

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

1.4.12 Sin-Funktion vgl. Cos-Funktion

1.4.12 Sin-Funktion vgl. Cos-Funktion .4. Sgn-Funktion Informatik. Semester 36 36.4.2 Sin-Funktion vgl. Cos-Funktion Informatik. Semester 37 37 .4.3 Sqr-Funktion Informatik. Semester 38 38.4.4 Tan-Funktion Informatik. Semester 39 39 .5 Konstanten

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Excel Funktionen durch eigene Funktionen erweitern.

Excel Funktionen durch eigene Funktionen erweitern. Excel Funktionen durch eigene Funktionen erweitern. Excel bietet eine große Anzahl an Funktionen für viele Anwendungsbereiche an. Doch es kommt hin und wieder vor, dass man die eine oder andere Funktion

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Erwin Grüner 09.02.2006

Erwin Grüner 09.02.2006 FB Psychologie Uni Marburg 09.02.2006 Themenübersicht Folgende Befehle stehen in R zur Verfügung: {}: Anweisungsblock if: Bedingte Anweisung switch: Fallunterscheidung repeat-schleife while-schleife for-schleife

Mehr

Visual Basic Basisbefehle Hinweis: Der Text in eckigen Klammern [ ] ist variabel, z.b. [var] => 5.3. Eckige Klammern sind stets wegzulassen!

Visual Basic Basisbefehle Hinweis: Der Text in eckigen Klammern [ ] ist variabel, z.b. [var] => 5.3. Eckige Klammern sind stets wegzulassen! Visual Basic Basisbefehle Hinweis: Der Text in eckigen Klammern [ ] ist variabel, z.b. [var] => 5.3. Eckige Klammern sind stets wegzulassen! Grundstrukturen: Sub [name]([übergabe]) End Sub [Übergabe] ist

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet C++ Grundlagen ++ bedeutet Erweiterung zum Ansi C Standard Hier wird eine Funktion eingeleitet Aufbau: In dieser Datei stehen die Befehle, die gestartet werden, wenn das Programm gestartet wird Int main()

Mehr

Gesicherte Prozeduren

Gesicherte Prozeduren Gesicherte Prozeduren Wenn eine Anwendung auf einer Client-Maschine läuft, wird normalerweise jede SQL-Anweisung einzeln vom Client an den Server gesandt, und jedes Ergebnistupel wird einzeln zurückgeliefert.

Mehr

PHP 5.4 ISBN 978-3-86249-327-2. Stephan Heller, Andreas Dittfurth 1. Ausgabe, September 2012. Grundlagen zur Erstellung dynamischer Webseiten GPHP54

PHP 5.4 ISBN 978-3-86249-327-2. Stephan Heller, Andreas Dittfurth 1. Ausgabe, September 2012. Grundlagen zur Erstellung dynamischer Webseiten GPHP54 PHP 5.4 Stephan Heller, Andreas Dittfurth 1. Ausgabe, September 2012 Grundlagen zur Erstellung dynamischer Webseiten ISBN 978-3-86249-327-2 GPHP54 5 PHP 5.4 - Grundlagen zur Erstellung dynamischer Webseiten

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Einführung in die Programmierung

Einführung in die Programmierung : Inhalt Einführung in die Programmierung Wintersemester 2008/09 Prof. Dr. Günter Rudolph Lehrstuhl für Algorithm Engineering Fakultät für Informatik TU Dortmund - mit / ohne Parameter - mit / ohne Rückgabewerte

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

Modul 122 VBA Scribt.docx

Modul 122 VBA Scribt.docx Modul 122 VBA-Scribt 1/5 1 Entwicklungsumgebung - ALT + F11 VBA-Entwicklungsumgebung öffnen 2 Prozeduren (Sub-Prozeduren) Eine Prozedur besteht aus folgenden Bestandteilen: [Private Public] Sub subname([byval

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Technische Universität München WS 2003/2004 Institut für Informatik Prof. Dr. Christoph Zenger Testklausur Einführung in die Programmierung Probeklausur Java (Lösungsvorschlag) 1 Die Klasse ArrayList In

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

5 DATEN. 5.1. Variablen. Variablen können beliebige Werte zugewiesen und im Gegensatz zu

5 DATEN. 5.1. Variablen. Variablen können beliebige Werte zugewiesen und im Gegensatz zu Daten Makro + VBA effektiv 5 DATEN 5.1. Variablen Variablen können beliebige Werte zugewiesen und im Gegensatz zu Konstanten jederzeit im Programm verändert werden. Als Variablen können beliebige Zeichenketten

Mehr

Modellierung und Programmierung 1

Modellierung und Programmierung 1 Modellierung und Programmierung 1 Prof. Dr. Sonja Prohaska Computational EvoDevo Group Institut für Informatik Universität Leipzig 19. November 2015 Gültigkeitsbereich (Scope) von Variablen { int m; {

Mehr

Datentypen. Agenda für heute, 4. März, 2010. Pascal ist eine streng typisierte Programmiersprache

Datentypen. Agenda für heute, 4. März, 2010. Pascal ist eine streng typisierte Programmiersprache Agenda für heute, 4. März, 2010 Zusammengesetzte if-then-else-anweisungen Datentypen Pascal ist eine streng typisierte Programmiersprache Für jeden Speicherplatz muss ein Datentyp t (Datenformat) t) definiert

Mehr

Übungen 19.01.2012 Programmieren 1 Felix Rohrer. Übungen

Übungen 19.01.2012 Programmieren 1 Felix Rohrer. Übungen Übungen if / else / else if... 2... 2 Aufgabe 2:... 2 Aufgabe 3:... 2 Aufgabe 4:... 2 Aufgabe 5:... 2 Aufgabe 6:... 2 Aufgabe 7:... 3 Aufgabe 8:... 3 Aufgabe 9:... 3 Aufgabe 10:... 3 switch... 4... 4 Aufgabe

Mehr

Grundlagen der Programmierung Prof. H. Mössenböck. 3. Verzweigungen

Grundlagen der Programmierung Prof. H. Mössenböck. 3. Verzweigungen Grundlagen der Programmierung Prof. H. Mössenböck 3. Verzweigungen If-Anweisung n > 0? j n if (n > 0) x = x / n; ohne else-zweig x x / n j max x x > y? n max y if (x > y) max = x; else max = y; mit else-zweig

Mehr

1. LPC - Lehmanns Programmier Contest - Lehmanns Logo

1. LPC - Lehmanns Programmier Contest - Lehmanns Logo Aufgabe ist die Entwicklung einer vereinfachten Variante der beliebten Programmiersprache Logo. Die Aufgabe ist in drei Stufen zu erledigen, von der wir zunächst nur die erste Stufe bekannt geben. Die

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

VBA-Programmierung: Zusammenfassung

VBA-Programmierung: Zusammenfassung VBA-Programmierung: Zusammenfassung Programmiersprachen (Definition, Einordnung VBA) Softwareentwicklung-Phasen: 1. Spezifikation 2. Entwurf 3. Implementierung Datentypen (einfach, zusammengesetzt) Programmablaufsteuerung

Mehr

P r o g r a m m a b l a u f s t e u e r u n g

P r o g r a m m a b l a u f s t e u e r u n g Jede Programmiersprache braucht Konstrukte zur Steuerung des Programmablaufs. Grundsätzlich unterscheiden wir Verzweigungen und Schleifen. Schleifen dienen dazu, bestimmte Anweisungen wiederholt auszuführen,

Mehr

Programmierkurs Java

Programmierkurs Java Programmierkurs Java Dr. Dietrich Boles Aufgaben zu UE16-Rekursion (Stand 09.12.2011) Aufgabe 1: Implementieren Sie in Java ein Programm, das solange einzelne Zeichen vom Terminal einliest, bis ein #-Zeichen

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Objektorientierte Programmierung

Objektorientierte Programmierung Objektorientierte Programmierung 1 Geschichte Dahl, Nygaard: Simula 67 (Algol 60 + Objektorientierung) Kay et al.: Smalltalk (erste rein-objektorientierte Sprache) Object Pascal, Objective C, C++ (wiederum

Mehr

Einführung in die C++ Programmierung für Ingenieure

Einführung in die C++ Programmierung für Ingenieure Einführung in die C++ Programmierung für Ingenieure MATTHIAS WALTER / JENS KLUNKER Universität Rostock, Lehrstuhl für Modellierung und Simulation 14. November 2012 c 2012 UNIVERSITÄT ROSTOCK FACULTY OF

Mehr

Datentypen: Enum, Array, Struct, Union

Datentypen: Enum, Array, Struct, Union Datentypen: Enum, Array, Struct, Union C-Kurs 2013, 2. Tutorium Freitagsrunde http://wiki.freitagsrunde.org 10. September 2013 This work is licensed under the Creative Commons Attribution-ShareAlike 3.0

Mehr

Klausurteilnehmer. Wichtige Hinweise. Note: Klausur Informatik Programmierung, 17.09.2012 Seite 1 von 8 HS OWL, FB 7, Malte Wattenberg.

Klausurteilnehmer. Wichtige Hinweise. Note: Klausur Informatik Programmierung, 17.09.2012 Seite 1 von 8 HS OWL, FB 7, Malte Wattenberg. Klausur Informatik Programmierung, 17.09.2012 Seite 1 von 8 Klausurteilnehmer Name: Matrikelnummer: Wichtige Hinweise Es sind keinerlei Hilfsmittel zugelassen auch keine Taschenrechner! Die Klausur dauert

Mehr

Java 7. Elmar Fuchs Grundlagen Programmierung. 1. Ausgabe, Dezember 2011 JAV7

Java 7. Elmar Fuchs Grundlagen Programmierung. 1. Ausgabe, Dezember 2011 JAV7 Java 7 Elmar Fuchs Grundlagen Programmierung 1. Ausgabe, Dezember 2011 JAV7 5 Java 7 - Grundlagen Programmierung 5 Kontrollstrukturen In diesem Kapitel erfahren Sie wie Sie die Ausführung von von Bedingungen

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Graphic Coding. Klausur. 9. Februar 2007. Kurs A

Graphic Coding. Klausur. 9. Februar 2007. Kurs A Graphic Coding Klausur 9. Februar 2007 Kurs A Name: Matrikelnummer: Hinweise - Es sind keine Hilfsmaterialien erlaubt. (Keine Bücher, Taschenrechner, Handys) - Sie haben zwei Stunden Zeit. - Insgesamt

Mehr

VHDL - Objekte und Typen

VHDL - Objekte und Typen VHDL - Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Objekte und Typen 1/23 2007-08-24 Inhalt

Mehr

Seriendruck mit der Codex-Software

Seriendruck mit der Codex-Software Seriendruck mit der Codex-Software Seriendruck mit der Codex-Software Erstellen der Seriendruck-Datei in Windach Sie können aus der Adressverwaltung von Windach eine sogenannte Seriendruck-Datei erstellen,

Mehr

2. Programmierung in C

2. Programmierung in C 2. Programmierung in C Inhalt: Überblick über Programmiersprachen, Allgemeines zur Sprache C C: Basisdatentypen, Variablen, Konstanten Operatoren, Ausdrücke und Anweisungen Kontrollstrukturen (Steuerfluss)

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

How to install freesshd

How to install freesshd Enthaltene Funktionen - Installation - Benutzer anlegen - Verbindung testen How to install freesshd 1. Installation von freesshd - Falls noch nicht vorhanden, können Sie das Freeware Programm unter folgendem

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 2 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Prozedurale Datenbank- Anwendungsprogrammierung

Prozedurale Datenbank- Anwendungsprogrammierung Idee: Erweiterung von SQL um Komponenten von prozeduralen Sprachen (Sequenz, bedingte Ausführung, Schleife) Bezeichnung: Prozedurale SQL-Erweiterung. In Oracle: PL/SQL, in Microsoft SQL Server: T-SQL.

Mehr

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep

teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep teischl.com Software Design & Services e.u. office@teischl.com www.teischl.com/booknkeep www.facebook.com/booknkeep 1. Erstellen Sie ein neues Rechnungsformular Mit book n keep können Sie nun Ihre eigenen

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Druckerscriptsprache

Druckerscriptsprache Druckerscriptsprache Die Druckerscriptsprache stellt Ihnen ein mächtiges Werkzeug zur Erstellung und Automatisierung von komplexen Druckvorgängen zur Verfügung. Vom Folgedruck bis zum Archivfunktion ist

Mehr

Programmieren in C. Felder, Schleifen und Fließkommaarithmetik. Prof. Dr. Nikolaus Wulff

Programmieren in C. Felder, Schleifen und Fließkommaarithmetik. Prof. Dr. Nikolaus Wulff Programmieren in C Felder, Schleifen und Fließkommaarithmetik Prof. Dr. Nikolaus Wulff Addition von Zahlen 1 2 3 4 5 #include int main() { int x,y,z,sum; x = 1; y = 2; z = 4; sum = x + y + z;

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

II. Grundlagen der Programmierung. 9. Datenstrukturen. Daten zusammenfassen. In Java (Forts.): In Java:

II. Grundlagen der Programmierung. 9. Datenstrukturen. Daten zusammenfassen. In Java (Forts.): In Java: Technische Informatik für Ingenieure (TIfI) WS 2005/2006, Vorlesung 9 II. Grundlagen der Programmierung Ekkart Kindler Funktionen und Prozeduren Datenstrukturen 9. Datenstrukturen Daten zusammenfassen

Mehr

Tutorium Informatik 1. Aufgabe 2: Formatierte Ein- und Ausgabe

Tutorium Informatik 1. Aufgabe 2: Formatierte Ein- und Ausgabe Tutorium Informatik 1 Aufgabe 2: Formatierte Ein- und Ausgabe Fachbereich: Elektrotechnik Inhaltsverzeichnis 1 Aufgabe 1 2 Benötigte Funktionen und Schlüsselwörter 2 Robert Halas / FH Regensburg - 2003

Mehr

Wirtschaftsinformatik I

Wirtschaftsinformatik I Wirtschaftsinformatik I - Tutorium 6/ 7 (April 2010) Zusatzinformationen - Lösungsvorschläge Wirtschaftsinformatik I Tutorium Jochen Daum (4.Semester BWL) Universität Mannheim Rechtshinweis: Diese Präsentation

Mehr

Zur drittletzten Zeile scrollen

Zur drittletzten Zeile scrollen 1 Fragen und Antworten zur Computerbedienung Thema : Zur drittletzten Zeile scrollen Thema Stichwort Programm Letzte Anpassung Zur drittletzten Zeile scrollen Scrollen VBA Excel 1.02.2014 Kurzbeschreibung:

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

VisualBasic - Variablen

VisualBasic - Variablen Typisch für alle Basic-Dialekte ist die Eigenschaft, dass Variablen eigentlich nicht deklariert werden müssen. Sobald Sie einen Bezeichner schreiben, der bisher nicht bekannt war, wird er automatisch angelegt

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen:

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Unterprogramme Dr. Wolfgang Günther Unterprogramme 2 Unterprogramme Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Prozeduren

Mehr

1 Vom Problem zum Programm

1 Vom Problem zum Programm Hintergrundinformationen zur Vorlesung GRUNDLAGEN DER INFORMATIK I Studiengang Elektrotechnik WS 02/03 AG Betriebssysteme FB3 Kirsten Berkenkötter 1 Vom Problem zum Programm Aufgabenstellung analysieren

Mehr

VHDL Objekte und Typen

VHDL Objekte und Typen VHDL Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Objekte und Typen 1/27 2009-07-31 Inhalt

Mehr

Was meinen die Leute eigentlich mit: Grexit?

Was meinen die Leute eigentlich mit: Grexit? Was meinen die Leute eigentlich mit: Grexit? Grexit sind eigentlich 2 Wörter. 1. Griechenland 2. Exit Exit ist ein englisches Wort. Es bedeutet: Ausgang. Aber was haben diese 2 Sachen mit-einander zu tun?

Mehr

OP-LOG www.op-log.de

OP-LOG www.op-log.de Verwendung von Microsoft SQL Server, Seite 1/18 OP-LOG www.op-log.de Anleitung: Verwendung von Microsoft SQL Server 2005 Stand Mai 2010 1 Ich-lese-keine-Anleitungen 'Verwendung von Microsoft SQL Server

Mehr

Programmierparadigmen. Programmierparadigmen. Imperatives vs. objektorientiertes Programmieren. Programmierparadigmen. Agenda für heute, 4.

Programmierparadigmen. Programmierparadigmen. Imperatives vs. objektorientiertes Programmieren. Programmierparadigmen. Agenda für heute, 4. Agenda für heute, 4. Mai, 2006 Programmierparadigmen Imperative Programmiersprachen In Prozeduren zusammengefasste, sequentiell ausgeführte Anweisungen Die Prozeduren werden ausgeführt, wenn sie als Teil

Mehr

3.2 Binäre Suche. Usr/local/www/ifi/fk/menschen/schmid/folien/infovk.ppt 1

3.2 Binäre Suche. Usr/local/www/ifi/fk/menschen/schmid/folien/infovk.ppt 1 3.2 Binäre Suche Beispiel 6.5.1: Intervallschachtelung (oder binäre Suche) (Hier ist n die Anzahl der Elemente im Feld!) Ein Feld A: array (1..n) of Integer sei gegeben. Das Feld sei sortiert, d.h.: A(i)

Mehr

Zugriff auf Daten der Wago 750-841 über eine Webseite

Zugriff auf Daten der Wago 750-841 über eine Webseite Zugriff auf Daten der Wago 750-841 über eine Webseite Inhaltsverzeichnis Einleitung... 3 Auslesen von Variablen... 4 Programm auf der SPS... 4 XML-Datei auf der SPS... 4 PHP-Script zum Auslesen der XML-Datei...

Mehr

Java-Programmierung mit NetBeans

Java-Programmierung mit NetBeans Java-Programmierung mit NetBeans Steuerstrukturen Dr. Henry Herper Otto-von-Guericke-Universität Magdeburg - WS 2012/13 Steuerstrukturen Steuerstrukturen Verzweigungen Alternativen abweisende nichtabweisende

Mehr

Lokale Installation von DotNetNuke 4 ohne IIS

Lokale Installation von DotNetNuke 4 ohne IIS Lokale Installation von DotNetNuke 4 ohne IIS ITM GmbH Wankelstr. 14 70563 Stuttgart http://www.itm-consulting.de Benjamin Hermann hermann@itm-consulting.de 12.12.2006 Agenda Benötigte Komponenten Installation

Mehr

Eine Anwendung mit InstantRails 1.7

Eine Anwendung mit InstantRails 1.7 Eine Anwung mit InstantRails 1.7 Beschrieben wird das Anlegen einer einfachen Rails-Anwung, die ohne Datenbank auskommt. Schwerpunktmäßig wird auf den Zusammenhang von Controllern, Views und der zugehörigen

Mehr

Klicken Sie auf Weiter und es erscheint folgender Dialog

Klicken Sie auf Weiter und es erscheint folgender Dialog Datenimport Hier wird der Import von Excel-Daten in das Programm Videka TS beschrieben. Der Import mit den Programmen Aring s AdressMogul und Aring s promptbill läuft genauso ab, wie hier beschrieben.

Mehr

5. Tutorium zu Programmieren

5. Tutorium zu Programmieren 5. Tutorium zu Programmieren Dennis Ewert Gruppe 6 Universität Karlsruhe Institut für Programmstrukturen und Datenorganisation (IPD) Lehrstuhl Programmierparadigmen WS 2008/2009 c 2008 by IPD Snelting

Mehr

SEMINAR Modifikation für die Nutzung des Community Builders

SEMINAR Modifikation für die Nutzung des Community Builders 20.04.2010 SEMINAR Modifikation für die Nutzung des Community Builders Step by Step Anleitung ecktion SEMINAR Modifikation für die Nutzung des Community Builders Step by Step Anleitung Bevor Sie loslegen

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

C/C++ Programmierung

C/C++ Programmierung 1 C/C++ Programmierung Grundlagen: Anweisungen Sebastian Hack Christoph Mallon (hack mallon)@cs.uni-sb.de Fachbereich Informatik Universität des Saarlandes Wintersemester 2009/2010 2 Anweisungen (Statements)

Mehr

Die Programmiersprache C99: Zusammenfassung

Die Programmiersprache C99: Zusammenfassung Die Programmiersprache C99: Zusammenfassung Jörn Loviscach Versionsstand: 7. Dezember 2010, 19:30 Die nummerierten Felder sind absichtlich leer, zum Ausfüllen in der Vorlesung. Videos dazu: http://www.youtube.com/joernloviscach

Mehr

Anwendungsbeispiele Buchhaltung

Anwendungsbeispiele Buchhaltung Rechnungen erstellen mit Webling Webling ist ein Produkt der Firma: Inhaltsverzeichnis 1 Rechnungen erstellen mit Webling 1.1 Rechnung erstellen und ausdrucken 1.2 Rechnung mit Einzahlungsschein erstellen

Mehr

Programmieren. 10. Tutorium 4./ 5. Übungsblatt Referenzen

Programmieren. 10. Tutorium 4./ 5. Übungsblatt Referenzen Programmieren 10. Tutorium 4./ 5. Übungsblatt Inhalt I. Übungsblatt 4 II. III. - Rückgabe und Besprechung - Vorbereitung auf Wiederholung/ Nachtrag - Operatorpräzedenzen IV. Übungsblatt 5 - Vorstellung

Mehr

Objektorientierte Programmierung. Kapitel 12: Interfaces

Objektorientierte Programmierung. Kapitel 12: Interfaces 12. Interfaces 1/14 Objektorientierte Programmierung Kapitel 12: Interfaces Stefan Brass Martin-Luther-Universität Halle-Wittenberg Wintersemester 2012/13 http://www.informatik.uni-halle.de/ brass/oop12/

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Es gibt zwei Wege die elektronischen Daten aus Navision zu exportieren.

Es gibt zwei Wege die elektronischen Daten aus Navision zu exportieren. Elektronische Daten aus Navision (Infoma) exportieren Es gibt zwei Wege die elektronischen Daten aus Navision zu exportieren. 1. GDPdU 1.1 Manuelle Einrichtung der GDPdU-Definitionsgruppe und Ausführung

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Kommunikations-Parameter

Kommunikations-Parameter KNX App knxpresso für Android Tablets/Phones Kommunikations-Parameter Ausgabe Dokumentation: Mai. 2015 Doku Version V1.0.0 - Seite 1/8 Inhaltsverzeichnis 1.1 Nützliche Links... 3 1.2 Beschreibung der Kommunikations-Datei...

Mehr

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der Sichere E-Mail der Nutzung von Zertifikaten / Schlüsseln zur sicheren Kommunikation per E-Mail mit der Sparkasse Germersheim-Kandel Inhalt: 1. Voraussetzungen... 2 2. Registrierungsprozess... 2 3. Empfang

Mehr

Whitebox-Tests: Allgemeines

Whitebox-Tests: Allgemeines -Tests: Allgemeines Andere Bezeichnungen Logic driven, Strukturelles Der Tester entwickelt Testfälle aus einer Betrachtung der Ablauflogik des Programms unter Berücksichtigung der Spezifikation Intuitiv

Mehr

Java Kurs für Anfänger Einheit 5 Methoden

Java Kurs für Anfänger Einheit 5 Methoden Java Kurs für Anfänger Einheit 5 Methoden Ludwig-Maximilians-Universität München (Institut für Informatik: Programmierung und Softwaretechnik von Prof.Wirsing) 22. Juni 2009 Inhaltsverzeichnis Methoden

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

Netzwerkeinstellungen unter Mac OS X

Netzwerkeinstellungen unter Mac OS X Netzwerkeinstellungen unter Mac OS X Dieses Dokument bezieht sich auf das D-Link Dokument Apple Kompatibilität und Problemlösungen und erklärt, wie Sie schnell und einfach ein Netzwerkprofil unter Mac

Mehr

Einführung in die Java- Programmierung

Einführung in die Java- Programmierung Einführung in die Java- Programmierung Dr. Volker Riediger Tassilo Horn riediger horn@uni-koblenz.de WiSe 2012/13 1 Wichtig... Mittags Pommes... Praktikum A 230 C 207 (Madeleine) F 112 F 113 (Kevin) E

Mehr

Das Typsystem von Scala. L. Piepmeyer: Funktionale Programmierung - Das Typsystem von Scala

Das Typsystem von Scala. L. Piepmeyer: Funktionale Programmierung - Das Typsystem von Scala Das Typsystem von Scala 1 Eigenschaften Das Typsystem von Scala ist statisch, implizit und sicher 2 Nichts Primitives Alles ist ein Objekt, es gibt keine primitiven Datentypen scala> 42.hashCode() res0:

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus:

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: If-clauses - conditional sentences - Nie mehr Probleme mit Satzbau im Englischen! Das komplette Material finden Sie hier: School-Scout.de

Mehr

3. LINEARE GLEICHUNGSSYSTEME

3. LINEARE GLEICHUNGSSYSTEME 176 3. LINEARE GLEICHUNGSSYSTEME 90 Vitamin-C-Gehalt verschiedener Säfte 18,0 mg 35,0 mg 12,5 mg 1. a) 100 ml + 50 ml + 50 ml = 41,75 mg 100 ml 100 ml 100 ml b) : Menge an Kirschsaft in ml y: Menge an

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Bedienungsanleitung für den Online-Shop

Bedienungsanleitung für den Online-Shop Hier sind die Produktgruppen zu finden. Zur Produktgruppe gibt es eine Besonderheit: - Seite 1 von 18 - Zuerst wählen Sie einen Drucker-Hersteller aus. Dann wählen Sie das entsprechende Drucker- Modell

Mehr

EchoLink und Windows XP SP2

EchoLink und Windows XP SP2 EchoLink und Windows XP SP2 Hintergrund Für Computer auf denen Windows XP läuft, bietet Microsoft seit kurzem einen Update, in der Form des Service Pack 2 oder auch SP2 genannt, an. SP2 hat einige neue

Mehr

ANLEITUNG NETZEWERK INSTALATION

ANLEITUNG NETZEWERK INSTALATION ANLEITUNG NETZEWERK INSTALATION Sehr geehrter Kunde, vielen Dank, dass Sie sich für RED CAD entschieden haben. Mit dieser Anleitung möchten wir Sie bei der Installation unterstützen. Die Netzwerkinstallation

Mehr

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos in Verbindung mit der Webshopanbindung wurde speziell auf die Shop-Software shop to date von DATA BECKER abgestimmt. Mit

Mehr