Systembeschreibung und Entwurf

Größe: px
Ab Seite anzeigen:

Download "Systembeschreibung und Entwurf"

Transkript

1 Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences

2

3 Inhaltsverzeichnis Inhaltsverzeichnis Einleitung 1 1. Spezifikation eines digitalen Systems mit VHDL Von der Spezifikation zum Chip Der VHDL Standard Modellierung kombinatorischer Logik Einführung zum VHDL Modell Multiplexer Volladdierer Mehrbitaddierer Modellierung speichernder Elemente Flip-Flop Latch Schieberegister Modellierung von Zustandsmaschinen Zähler Moore- und Mealy-Automat Zustandskodierung Simulation und Verifikation von VHDL-Modellen Modellzeit Testbench VHDL-AMS Simulationsmethodik Zusammenfassung I

4 Inhaltsverzeichnis 7. Analoge Modelle Ein einfaches Beispiel: Widerstand Objekte in Analogmodellen Terminals Quantities Implizite Quantities Abstraktionsgrade: Das Leitungsmodell Zusammenfassung Heterogene analoge Modelle Lösbarkeit Zusammenfassung Systeme mit diskontinuierlichem Verhalten Analog-digitale Schnittstellen in VHDL-AMS Analog-Digital-Wandlung Digital-Analog-Wandlung Mixed Signal Modell-Beispiele Anfangsbedingungen und Diskontinuitäten Kondensator mit Anfangsbedingung Wegumkehr beim springenden Ball Zusammenfassung Schlussbemerkungen 87 Literaturverzeichnis 88 Anhang: Der VHDL Simulator ModelSim XE Starter 89 Anhang: SystemVision 91 Verfügbarkeit Installation und Lizenzierung Aufruf von System Vision Begriffe Einrichtung eines Designprojektes in SystemVision Simulation der Stufenfunktion II

5 Inhaltsverzeichnis Anhang: SMASH-DOLPHIN 103 Smash Struktur Arbeiten mit SMASH Simulation von VHDL-AMS-Modellen Simulation eigener Modelle mit neuer.pat-dateien Schluss Stichwortverzeichnis 121 III

6 Einleitung Einleitung In diesem Lehrbrief werden Hardwarebeschreibungsprachen (HDLs, Hardware Description Languages) behandelt. Das waren ursprünglich Werkzeuge für den Digitalentwurf, die sich mittlerweile in Richtung gemischt analog-digitaler Systeme weiterentwickelt haben. Der wichtigste Vertreter dieser Sprachen in Europa ist VHDL mit der analogen Erweiterung VHDL-AMS (Analog Mixed Signal). HDLs werden bisher primär beim Entwurf digitaler elektronischer Systeme eingesetzt, um die Schaltungsvorgaben zu erfassen, das Systemverhalten zu simulieren und das erstellte Design zu verifizieren. Ihr Einsatz erfolgt zielgerichtet. Ein funktional korrekter digitaler Chip soll mit Hilfe von Sprache in möglichst kurzer Zeit entworfen werden. Wir stellen im ersten Teil des Lehrbriefs die Hardwarebeschreibungssprache VHDL vor und fassen die grundlegenden Verfahren der aktuellen Designmethodik für digitale (integrierte) Schaltungen zusammen. Dabei steht die Verwendung der Sprache bei der praktischen Modellbildung mehr im Vordergrund als die rein syntaktischen Aspekte. Heute wird keine digitale Schaltung mehr in der Praxis ohne Einsatz von HDLs entwickelt. Vielen Ingenieuren im Berufsfeld fehlen aber die einschlägigen Kenntnisse, um die Vorteile des HDL-basierten Entwurfs zu nutzen. HDL-Methoden konnten sich aber erst dann gegenüber Schaltplanentwürfen durchsetzen, als 1987 Synopsys mit dem Logiksynthesewerkzeug Design Compiler auf den Markt kam. Die Logiksynthese hat die Designverfahren revolutioniert. Ein Synthesetool analysiert das HDL-Modell, das das Schaltungsverhalten nur abstrakt beschreibt, setzt das Modell in eine boolesche Beschreibung um, optimiert die logische Struktur und bildet das Ergebnis auf die Komponenten einer ASIC-Bibliothek (logische Gatter, Flip-Flops, etc.) in der Zieltechnologie ab. Das Ergebnis ist eine Implementierung der gewünschten Funktion als Schaltung aus Bibliothekszellen. Diese Schaltung kann als VHDL-Netzliste oder in anderen Formaten ausgegeben werden und dient als Grundlage für den physikalischen Entwurf, bei dem aus der Schaltung eine Strukturdarstellung abgeleitet wird, die sich auf einen programmierbaren Logikbaustein übertragen lässt oder als ASIC-Layout an den Halbleiterhersteller gegeben werden kann. Die synthesebedingten Rationalisierungseffekte bei der Schaltungsdefinition haben den Schwerpunkt der Designaktivitäten verschoben. Der Designer beschäftigt sich heute fast nur noch mit der Frage, was implementiert werden soll und überlässt die Umsetzung in eine technologienahe Gatterbeschreibung den Computer-Tools. Statt elegante und effiziente Schaltungsvarianten zu entwickeln, ist er primär damit befasst, ein synthesefähiges VHDL-Modell zu erstellen und dessen Übereinstimmung auf Verhaltensebene und später auf Gat- 1

7 Einleitung terniveau mit den Vorgaben der System-Spezifikation (Lastenheft) zu verifizieren. Verifikation bleibt auch der Schwerpunkt im gesamten weiteren Entwurfsablauf: Nach der Synthese und der Umsetzung in die Zieltechnologie folgen zusätzliche Verifikationsschritte, die dann auch Laufzeiten durch die eingesetzten Gatter berücksichtigen. Auch bei der Verifikation bieten HDL- Modelle Vorteile. Statt die Testsignale per Hand in den Simulator einzugeben und die Ergebnisse als Wellenzüge mit bloßem Auge zu analysieren, kann man so genannte Testbench-Modelle mit HDLs entwickeln, die diese Aufgaben automatisch erledigen und selbständig die Verifikationsaufgaben abarbeiten. Im zweiten Teil des Lehrbriefs befassen wir uns mit der analogen Erweiterung von VHDL. Der Grund für diese Weiterentwicklung ist die zunehmende Bedeutung der Computersimulation in allen technischen Gebieten. In den letzten Jahrzehnten sind in der Elektrotechnik, in der Mechanik, der Chemie, usw. Methoden zur Modellierung und Simulation entwickelt worden, die sich jedoch völlig unabhängig voneinander ausgebildet haben mit jeweils eigenen Verfahrensweisen und CAD-Werkzeugen. Dies war nur solange sinnvoll, solange Teilsysteme aus verschiedenen technischen Disziplinen aufgrund der Größe und des niedrigen Integrationsgrades im Gesamtsystem klar voneinander getrennt waren und nur über klar definierte Schnittstellen in Wechselwirkung traten. Dies trifft aber heute nicht mehr zu. Aktuell werden zunehmend miniaturisierte und hoch integrierte Systeme in der Technik verwendet. Man denke nur an das Kfz, in dem beispielsweise elektronische Regler vor Ort im Rad in Bremsvorgänge eingreifen und im Motorraum direkt die Prozesse der Verbrennungsmotoren steuern oder an Mikro- und Nanosysteme, die aus optischen, chemischen, mechanischen und elektronischen Teilen bestehen, die auf engstem Raum dem gemeinsamen Halbleitersubstrat zusammenwirken. In solchen heterogenen Systemen kann man die gegenseitige Beeinflussung der Komponenten nicht mehr vernachlässigen und muss diese Effekte schon während der Entwicklung, also bei der Modellierung und Systemsimulation erfassen, will man nicht Einbußen in der Funktionalität oder zu lange Entwicklungszeiten riskieren. Deshalb besteht aktuell ein großer Bedarf an vereinheitlichten und durchgängigen Werkzeugen und Verfahrensweisen zur System-Modellierung und Simulation, die komplexe Systeme mit interdisziplinären Funktionsblöcken beschreiben können. VHDL-AMS ist ein solches Werkzeug und ist eine standardisierte Erweiterung der digitalen Hardwarebeschreibungssprache VHDL, die diese Lücke schließt. AMS steht für Analog Mixed Signal, weil ursprünglich die Modellierung von gemischt analogen und digitalen Schaltungen im Vordergrund stand. Mittlerweile sind aber neben der Elektrotechnik auch viele andere technisch wesentlichen Domänen (Optik, Fluidik, Thermodynamik, usw.) eingeschlossen worden. VHDL-AMS bietet gegenüber bisherigen Analogsimulatoren für heterogene Systeme wie SPICE [DHT] aber zwei Vorteile: die Standardisierung und als 2

8 Einleitung objektbasierte Sprache das modernere Konzept. Deshalb lag es nahe, VHDL so zu erweitern, dass auch zeit- und wertekontinuierliche Modelle geschrieben werden können. Ein VHDL-AMS-Simulator verfügt deshalb über einen analogen und einen digitalen Kern, die die jeweilige Verhaltensdomäne bearbeiten. In VHDL- AMS können über die digitalen Konzepten von VHDL hinaus beispielsweise sowohl wertkontinuierliche konservative (Terminals) wie nicht konservative Klemmen (Quantities) vorgegeben werden, über simultane Anweisungen können Differentialgleichungen eingegeben werden, und mit BREAK-Statements Diskontinuitäten im Analogsimulator behandelt werden. Die Beschreibung der gegenseitigen Beeinflussung von Systemvariablen aus verschiedenen physikalischen Disziplinen ist möglich. Dieser Lehrbrief ist wie folgt gegliedert: In den ersten Kapiteln wird die Modellbildung, Synthese und Verifikation von digitaler Elektronik behandelt. Kombinatorische Logik, Zustandsautomaten und speichernde Elemente sind hier die Grundkonstrukte. Von besonderer Bedeutung ist die Verifikation von Modellen. Dazu werden spezielle HDL-Modelle verwendet, die als Testbenches (Prüfstände) bezeichnet werden und die das zu verifizierende Design mit Testmustern ansteuern, die sich ergebenden Ausgangssignale entweder direkt bewerten oder zur weiteren Analyse in Dateien abspeichern. In den letzten vier Kapiteln wird auf die AMS-Erweiterung von VHDL eingegangen und die Modellierung rein analoger, gemischt analog-digitaler und heterogener Systeme eingegangen. Von besonderer Bedeutung bei VHDL-AMS ist die Verzahnung der ereignisgesteuerten digitalen und der zeit- und wertekontinuierlichen Auswertung der algebraischen Differentialgleichungen, die das analoge Verhalten beschreiben. Eine neue Hardwarebeschreibungssprache erlernt man wie jede Sprache nur durch fortgesetztes Üben. Digitale VHDL-Modelle können mit dem Simulator ModelSim simuliert werden. Der Halbleiterhersteller XILINX stellt diesen Simulator mit reduziertem Funktionsumfang auf seiner Homepage zu Verfügung. Mentor Graphics als führender EDA-Hersteller (EDA, Electronic Design Automation) bietet den VHDL-AMS-Simulator SystemVision ebenfalls als Download im Internet an. Lizenzen (Educational Licenses) werden für beide Tools nach der Registrierung per erteilt. Der Umgang mit diesen Simulatoren und die Download- und Lizenzierungsprozeduren werden in zwei Anhängen erklärt. 3

9

10 Modellierung kombinatorischer Logik 2 Modellierung kombinatorischer Logik VHDL-Modelle kombinatorischer Logik werden in diesem Kapitel vorgestellt. Dabei wird zunächst der grundsätzliche syntaktische Aufbau eines VHDL- Modells vorgestellt. Es wird gezeigt, wie logische und arithmetische Operatoren sowie verschiedene Datentypen von Signalen zur Modellierung von Grundschaltungen kombinatorischer Logik eingesetzt werden können. 2.1 Einführung zum VHDL Modell Digitale Modelle beschreiben Systeme, in denen sich die Signale in diskreten Stufen zu diskreten Zeitpunkten ändern. Signale können innerhalb des VHDL Modells auf verschiedenen Hierarchieebenen definiert sein. VHDL Modelle setzten sich aus mindestens zwei Teilen zusammen: Einer Entity und einer Architecture. Die Entity stellt die Schnittstelle des Modells zur Außenwelt (z.b. Pins eines IC) dar. Zu jeder Entity muss es mindestens eine Architecture geben. Die Architecture beschreibt die Funktionalität oder das Verhalten der Entity. Signale können auf der höchsten Hierarchieebene innerhalb der Entity als Port-Deklaration auftreten, oder als lokale Signale in der Architecture definiert werden. Eine Entity-Deklaration hat die folgende Form: entity entity_name is port( signal_name1: port_mode port_type; signal_name2: port_mode port_type := initial_value); end entity entity_name; Signale innerhalb der Port-Deklaration besitzen einen port_mode zur Spezifizierung der Datenflussrichtung. Verwendung finden häufig die Modi: in, out, inout. Der Datentyp muss ebenfalls bei der Definition von Signalen angegeben werden. Selbsterklärend sind die Datentypen: bit und bit_vector. Die Initialisierung eines Signals als port erfolgt mit dem Zuweisungsoperator := Alle späteren Signal- sowie Signal Port-Zuweisungen erfolgen mit <= 7

11 Modellierung kombinatorischer Logik Innerhalb der Architecture wird das Verhalten des Modells durch eine oder mehrere nebenläufige Anweisungen beschrieben. Eine Architecture- Deklaration hat die folgende Form: architecture architecture_name of entity_name is -- interne Objektdeklarationen begin -- nebenläufige Anweisungen end architecture architecture_name; Zunächst aber noch einige Anmerkungen zum VHDL-Syntax: - Kommentare beginnen mit -- - Leerzeichen werden, wenn nicht von der Sprachdefinition explizit gefordert, im Quelltext ignoriert - Einschübe werden ebenfalls ignoriert, erhöhen aber die Lesbarkeit - Es wird nicht unterschieden zwischen Groß- und Kleinschreibung - Bezeichner (Namen von Signalen, Architectures, Entities) dürfen keine reservierten Wörter sein - Bezeichner beginnen immer mit einem Buchstaben. Die nachfolgenden Zeichen können Buchstaben, Ziffern oder aber auch Unterstriche sein. - Es dürfen keine zwei oder mehr Unterstriche in einem Bezeichner aufeinanderfolgen Als einführendes Beispiel zur kombinatorischen Logik wird das Modell eines Multiplexers besprochen. 2.2 Multiplexer Der folgende VHDL Code modelliert einen 1-aus-4 Multiplexer: -- multiplexer.vhd entity MUX4 is port( S: in bit_vector(1 downto 0); E: in bit_vector(3 downto 0); Y: out bit); end MUX4; architecture Behaviour of MUX4 is begin with S select Y <= E(0) when "00", E(1) when "01", 8

12 Modellierung kombinatorischer Logik E(2) when "10", E(3) when "11"; end architecture Behaviour; In der Entity Deklaration wird ein Eingangssignal E als 4bit Bus deklariert. Die Bezeichnung (x downto y) bedeutet eine absteigende Wertigkeit der einzelnen Bits des bit_vector signals. Das höchstwertigste Bit steht am weitesten links in der Bitfolge. Dies entspricht der üblichen Darstellung in der Digitaltechnik. Die logische Beschreibung des Modells erfolgt innerhalb der Architecture- Deklaration. Die Zuweisung eines Eingangssignals auf den Ausgang erfolgt durch eine so genannte selektive Signalzuweisung: Ein Selektor-Ausdruck muss auf alle möglichen Werte abgefragt werden. Werte können zusammengefasst werden durch den Ausdruck others. Die allgemeine Syntax lautet: with <Selector> select <Ausgangssignal> <= <logischer Ausdruck_1> when <Selectorwert_1>, <logischer Ausdruck_2> when <Selectorwert_2>, <logischer Ausdruck_N> when others; Kennzeichnend für die selektive Signalzuweisung ist, dass immer auf den Wert des Selektorsignals getestet wird. Soll auf unterschiedliche Bedingungen getestet werden (z.b. auf den Wert verschiedener Eingangssignale), so bietet sich die bedingte Signalzuweisung an. Die Bedingungen müssen sich dabei gegenseitig ausschließen. Die allgemeine Syntax lautet: <Ausgangssignal> <= <logischer Ausdruck_1> when <Bedingung_1> else <logischer Ausdruck_2> when <Bedingung_2> else <logischer Ausdruck_N>; Übungsaufgabe Schreiben Sie einen VHDL Code für den 4-aus-1 Multiplexer unter Verwendung der bedingten Signalzuweisung! 9

13 Modellierung kombinatorischer Logik 2.3 Volladdierer Die VHDL-Syntax unterstützt die folgenden logischen Operatoren: and, or, nand, nor, xor, xnor, not Der folgende VHDL Code modelliert einen Volladdierer, die Grundschaltung für arithmetische Hardwarestrukturen: -- volladdierer.vhd entity Volladdierer is port( A, B, Cin: in bit; S, Cout: out bit); end Volladdierer; architecture Verhalten of Volladdierer is begin S <= A xor B xor Cin; Cout <= (A and B) or (Cin and (A xor B)); end architecture Verhalten; Die zur Verfügung stehenden logischen Operatoren lassen sich nicht nur auf den Signaltyp bit, sondern auch auf bit_vector anwenden. Hierbei ist allerdings zu beachten, dass die Bitbreite der zu verknüpfenden Operanden gleich ist. Ebenfalls muss der Ergebnisvektor mit gleicher Bitbreite definiert sein. 2.4 Mehrbitaddierer Der folgende einfache VHDL Code modelliert einen binären 8bit Addierer: -- Mehrbitaddierer.vhd library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity Addierer8bit is port( A: in std_logic_vector (7 downto 0); B: in std_logic_vector (7 downto 0); Y: out std_logic_vector (7 downto 0)); end Addierer8bit; architecture Verhalten of Addierer8bit is begin y <= A + B; end architecture Verhalten; 10

14 Modellierung kombinatorischer Logik Zunächst fällt auf, dass ein anderer Datentyp für die Signale innerhalb der port-deklaration verwendet wird: Der Datentyp std_logic bzw. std_logic_vector. Bei dem Signaltyp std_logic handelt es sich um einen mehrwertigen Datentypen, für eine gegenüber dem Typ bit ( 0, 1 ) verbesserte Simulation digitalen Verhaltens. Es wird eine neunwertige Logik eingeführt, die folgende Werte umfasst: U -- Nicht initialisiert X -- Undefiniert 0 -- Starke logische Starke logische 1 Z -- Hochohmig W -- Schwach unbekannt L -- Schwache logische 0 H -- Schwache logische Don t care Dieser Datentyp sowie weitere Informationen sind in einer IEEE Bibliothek definiert [IEEE Standard 1164]. Vor der entity Deklaration muss diese Bibliothek mit einer library Anweisung deklariert werden, und über die use Anweisung muss die Verwendung des std_logic_1164 Pakets angezeigt werden. Für die Einbindung aller Modellierungskonstrukte des Pakets IEEE.std_logic_1164 müssen deshalb die folgenden zwei Zeilen vor der Entity Deklaration des Modells stehen: library IEEE; use IEEE.std_logic_1164.all; In der Architecture Deklaration wird ein Arithmetik-Operator verwendet. Die wichtigsten synthesefähigen arithmetischen Operatoren in VHDL sind + -- Addition - -- Subtraktion * -- Multiplikation 2** -- Zweierpotenz Vor der Anwendung eines Arithmetik-Operators auf Signale vom Datentyp std_logic_vector muss durch eine use Anweisung angegeben werden, ob eine vorzeichenlose (unsigned) oder eine vorzeichenbehaftete (signed) Arithmetik verwendet werden soll. Entsprechend ist die folgende Zeile nach der Einbindung des Pakets IEEE.std_logic_1164 anzugeben: use IEEE.std_logic_unsigned.all; Die Mächtigkeit der VHDL-Syntax zeigt sich, wenn der Code einem Synthesewerkzeug zugeführt wird. Synthesewerkzeuge können automatisch die VHDL-Modellbeschreibung auf die Elemente einer ASIC-Gatterbibliothek abbilden. Für den Fall des 8bit Addierers wird die Schaltung automatisch aus 11

15 Modellierung kombinatorischer Logik Grundgattern, dem Volladdierer sowie EXOR-Gatter, aufgebaut. Welche Hardwarearchitektur das Synthesewerkzeug dafür auswählt, ist an die Randbedingungen geknüpft, die der Entwickler vorgibt. Dazu greift das Synthesewerkzeug auf entsprechende IP Bibliotheken zurück. Als Beispiel ist in Abb. 2.1 eine Addierer-Bibliothek der Firma Synopsys angegeben [Synopsys- DesignWare06]. Das Syntheseergebnis des einfachen VHDL-Codes ist in Abb. 2.2 dargestellt. Hier sieht man, dass als Architektur ein Ripple-Carry Addierer gewählt wurde. Abbildung 2.1: Addierer-Bibliothek Abbildung 2.2: Syntheseergebnis des 8it Addierers 12

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Einführung in die C++ Programmierung für Ingenieure

Einführung in die C++ Programmierung für Ingenieure Einführung in die C++ Programmierung für Ingenieure MATTHIAS WALTER / JENS KLUNKER Universität Rostock, Lehrstuhl für Modellierung und Simulation 14. November 2012 c 2012 UNIVERSITÄT ROSTOCK FACULTY OF

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

etutor Benutzerhandbuch XQuery Benutzerhandbuch Georg Nitsche

etutor Benutzerhandbuch XQuery Benutzerhandbuch Georg Nitsche etutor Benutzerhandbuch Benutzerhandbuch XQuery Georg Nitsche Version 1.0 Stand März 2006 Versionsverlauf: Version Autor Datum Änderungen 1.0 gn 06.03.2006 Fertigstellung der ersten Version Inhaltsverzeichnis:

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Modellbildungssysteme: Pädagogische und didaktische Ziele

Modellbildungssysteme: Pädagogische und didaktische Ziele Modellbildungssysteme: Pädagogische und didaktische Ziele Was hat Modellbildung mit der Schule zu tun? Der Bildungsplan 1994 formuliert: "Die schnelle Zunahme des Wissens, die hohe Differenzierung und

Mehr

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien Sie haben von der VR DISKONTBANK GmbH ein signiertes PDF-Dokument (i.d.r. eine Zentralregulierungsliste mit dem Status einer offiziellen Rechnung) erhalten und möchten nun die Signatur verifizieren, um

Mehr

Einrichtung eines Mailkontos unter Netscape (Messenger) Version 7.1

Einrichtung eines Mailkontos unter Netscape (Messenger) Version 7.1 Fachhochschule Köln University of Applied Sciences Cologne Einrichtung eines Mailkontos unter Netscape (Messenger) Version 7.1 Zentrum für Tel. +49 221 / 8275-2661 Fax +49 221 / 8275-2660 Fachhochschule

Mehr

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 von Markus Mack Stand: Samstag, 17. April 2004 Inhaltsverzeichnis 1. Systemvorraussetzungen...3 2. Installation und Start...3 3. Anpassen der Tabelle...3

Mehr

Verwenden von OnlineUebungen.ch nichts einfacher als das!

Verwenden von OnlineUebungen.ch nichts einfacher als das! Verwenden von OnlineUebungen.ch nichts einfacher als das! Diese Kurzanleitung soll Ihnen einen Schnell-Überblick über den SchulArena-Übungsgenerator www.onlineuebungen.ch verschaffen. 1. Anmeldung als

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Webalizer HOWTO. Stand: 18.06.2012

Webalizer HOWTO. Stand: 18.06.2012 Webalizer HOWTO Stand: 18.06.2012 Copyright 2003 by manitu. Alle Rechte vorbehalten. Alle verwendeten Bezeichnungen dienen lediglich der Kennzeichnung und können z.t. eingetragene Warenzeichen sein, ohne

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Die vorliegende Arbeitshilfe befasst sich mit den Anforderungen an qualitätsrelevante

Die vorliegende Arbeitshilfe befasst sich mit den Anforderungen an qualitätsrelevante ISO 9001:2015 Die vorliegende Arbeitshilfe befasst sich mit den Anforderungen an qualitätsrelevante Prozesse. Die ISO 9001 wurde grundlegend überarbeitet und modernisiert. Die neue Fassung ist seit dem

Mehr

Microsoft Access 2010 Navigationsformular (Musterlösung)

Microsoft Access 2010 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2010 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2010) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden?

Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden? Wie kann ich in der Backstage-Ansicht eigene Dokumentationen einbinden? Anforderung Durch die Bearbeitung einer XML-Datei können Sie Ihre eigenen Dokumentationen (z.b. PDF-Dateien, Microsoft Word Dokumente

Mehr

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Die Entscheidung Advoware über VPN direkt auf dem lokalen PC / Netzwerk mit Zugriff auf die Datenbank des zentralen Servers am anderen

Mehr

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 -

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 - Matrix42 Use Case - Sicherung und Rücksicherung persönlicher Version 1.0.0 23. September 2015-1 - Inhaltsverzeichnis 1 Einleitung 3 1.1 Beschreibung 3 1.2 Vorbereitung 3 1.3 Ziel 3 2 Use Case 4-2 - 1 Einleitung

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

1 Informationelle Systeme begriffliche Abgrenzung

1 Informationelle Systeme begriffliche Abgrenzung 1 Informationelle Systeme begriffliche Abgrenzung Im Titel dieses Buches wurde das Wort Softwaresystem an den Anfang gestellt. Dies ist kein Zufall, denn es soll einen Hinweis darauf geben, dass dieser

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Handbuch ECDL 2003 Professional Modul 1: Textverarbeitung Absätze formatieren

Handbuch ECDL 2003 Professional Modul 1: Textverarbeitung Absätze formatieren Handbuch ECDL 2003 Professional Modul 1: Textverarbeitung Absätze formatieren Dateiname: ecdl_p1_01_02_documentation.doc Speicherdatum: 08.12.2004 ECDL 2003 Professional Modul 1 Textverarbeitung - Absätze

Mehr

P&P Software - Adressexport an Outlook 05/29/16 14:44:26

P&P Software - Adressexport an Outlook 05/29/16 14:44:26 Adressexport an Outlook Wozu? Aus EASY können viele Daten im Excelformat ausgegeben werden. Diese Funktion kann zum Beispiel zum Export von Lieferantenadressen an Outlook genutzt werden. Hinweis Wir können

Mehr

2015 conject all rights reserved

2015 conject all rights reserved 2015 conject all rights reserved Inhaltsverzeichnis 1 Zugang zu Ausschreibungen...3 1.1 Erstmaliger Zugang... 3 1.2 Erneuter Zugriff auf Ihre Ausschreibungen... 5 2 Zugriff auf Ausschreibungsunterlagen...5

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Lineare Differentialgleichungen erster Ordnung erkennen

Lineare Differentialgleichungen erster Ordnung erkennen Lineare Differentialgleichungen erster Ordnung In diesem Kapitel... Erkennen, wie Differentialgleichungen erster Ordnung aussehen en für Differentialgleichungen erster Ordnung und ohne -Terme finden Die

Mehr

mobifleet Beschreibung 1. Terminverwaltung in der Zentrale

mobifleet Beschreibung 1. Terminverwaltung in der Zentrale mobifleet Beschreibung 1. Terminverwaltung in der Zentrale Die Termine werden wie bisher im Outlook verwaltet und erfasst. Der Außendienst selbst, wie auch andere Personen, die Termine für den Außendienst

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH MORE Profile Pass- und Lizenzverwaltungssystem erstellt von: Thorsten Schumann erreichbar unter: thorsten.schumann@more-projects.de Stand: MORE Projects GmbH Einführung Die in More Profile integrierte

Mehr

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über Güte von s Grundlegendes zum Konzept der Güte Ableitung der Gütefunktion des Gauss im Einstichprobenproblem Grafische Darstellung der Gütefunktionen des Gauss im Einstichprobenproblem Ableitung der Gütefunktion

Mehr

Kennen, können, beherrschen lernen was gebraucht wird www.doelle-web.de

Kennen, können, beherrschen lernen was gebraucht wird www.doelle-web.de Inhaltsverzeichnis Inhaltsverzeichnis... 1 Grundlagen... 2 Hyperlinks innerhalb einer Datei... 2 Verweisziel definieren... 2 Einen Querverweis setzen... 3 Verschiedene Arten von Hyperlinks... 3 Einfache

Mehr

teamsync Kurzanleitung

teamsync Kurzanleitung 1 teamsync Kurzanleitung Version 4.0-19. November 2012 2 1 Einleitung Mit teamsync können Sie die Produkte teamspace und projectfacts mit Microsoft Outlook synchronisieren.laden Sie sich teamsync hier

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Produktschulung WinDachJournal

Produktschulung WinDachJournal Produktschulung WinDachJournal Codex GmbH Stand 2009 Inhaltsverzeichnis Einleitung... 3 Starten des Programms... 4 Erfassen von Notizen in WinJournal... 6 Einfügen von vorgefertigten Objekten in WinJournal...

Mehr

ecaros2 - Accountmanager

ecaros2 - Accountmanager ecaros2 - Accountmanager procar informatik AG 1 Stand: FS 09/2012 Inhaltsverzeichnis 1 Aufruf des ecaros2-accountmanager...3 2 Bedienung Accountmanager...4 procar informatik AG 2 Stand: FS 09/2012 1 Aufruf

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

Drucken aus der Anwendung

Drucken aus der Anwendung Drucken aus der Anwendung Drucken aus der Anwendung Nicht jeder Großformatdruck benötigt die volle Funktionsvielfalt von PosterJet - häufig sind es Standarddrucke wie Flussdiagramme und Organigramme die

Mehr

White Paper - Umsatzsteuervoranmeldung Österreich ab 01/2012

White Paper - Umsatzsteuervoranmeldung Österreich ab 01/2012 White Paper - Umsatzsteuervoranmeldung Österreich ab 01/2012 Copyright 2012 Seite 2 Inhaltsverzeichnis 1. Umsatzsteuervoranmeldung (UVA) Österreich ab 01/2012...3 1.1. Einleitung...3 1.2. Voraussetzung...4

Mehr

Das DAAD-PORTAL. Prozess der Antragstellung in dem SAPbasierten Bewerbungsportal des DAAD.

Das DAAD-PORTAL. Prozess der Antragstellung in dem SAPbasierten Bewerbungsportal des DAAD. Das DAAD-PORTAL Prozess der Antragstellung in dem SAPbasierten Bewerbungsportal des DAAD. November 2012 Man findet das neue Portal auf der Webseite vom DAAD : www.daad.de/ Danach erscheint ein neues Fenster,

Mehr

Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen

Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen Handbuch ECDL 2003 Professional Modul 3: Kommunikation Kalender freigeben und andere Kalender aufrufen Dateiname: ecdl_p3_02_03_documentation.doc Speicherdatum: 08.12.2004 ECDL 2003 Professional Modul

Mehr

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter.

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Stundenverwaltung Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Dieses Programm zeichnet sich aus durch einfachste

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

1 Einleitung. Lernziele. automatische Antworten bei Abwesenheit senden. Einstellungen für automatische Antworten Lerndauer. 4 Minuten.

1 Einleitung. Lernziele. automatische Antworten bei Abwesenheit senden. Einstellungen für automatische Antworten Lerndauer. 4 Minuten. 1 Einleitung Lernziele automatische Antworten bei Abwesenheit senden Einstellungen für automatische Antworten Lerndauer 4 Minuten Seite 1 von 18 2 Antworten bei Abwesenheit senden» Outlook kann während

Mehr

Angaben zu einem Kontakt...1 So können Sie einen Kontakt erfassen...4 Was Sie mit einem Kontakt tun können...7

Angaben zu einem Kontakt...1 So können Sie einen Kontakt erfassen...4 Was Sie mit einem Kontakt tun können...7 Tutorial: Wie kann ich Kontakte erfassen In myfactory können Sie Kontakte erfassen. Unter einem Kontakt versteht man einen Datensatz, der sich auf eine Tätigkeit im Zusammenhang mit einer Adresse bezieht.

Mehr

Datenbanken Kapitel 2

Datenbanken Kapitel 2 Datenbanken Kapitel 2 1 Eine existierende Datenbank öffnen Eine Datenbank, die mit Microsoft Access erschaffen wurde, kann mit dem gleichen Programm auch wieder geladen werden: Die einfachste Methode ist,

Mehr

4 Aufzählungen und Listen erstellen

4 Aufzählungen und Listen erstellen 4 4 Aufzählungen und Listen erstellen Beim Strukturieren von Dokumenten und Inhalten stellen Listen und Aufzählungen wichtige Werkzeuge dar. Mit ihnen lässt sich so ziemlich alles sortieren, was auf einer

Mehr

Informationen zur Verwendung von Visual Studio und cmake

Informationen zur Verwendung von Visual Studio und cmake Inhaltsverzeichnis Informationen zur Verwendung von Visual Studio und cmake... 2 Erste Schritte mit Visual Studio... 2 Einstellungen für Visual Studio 2013... 2 Nutzung von cmake... 6 Installation von

Mehr

DRK Ortsverein Henstedt-Ulzburg e.v. DRK Möbelbörse. Benutzerhandbuch. Version 1.2

DRK Ortsverein Henstedt-Ulzburg e.v. DRK Möbelbörse. Benutzerhandbuch. Version 1.2 DRK Ortsverein Henstedt-Ulzburg e.v. DRK Möbelbörse Benutzerhandbuch Inhaltsverzeichnis Sinn und Zweck der Möbelbörse...3 Handhabung der Oberfläche... 3 Erklärung der einzelnen Menüteile...3 Bedingungen...

Mehr

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten Berichte bieten die gleichen Möglichkeit zur Berechnung von Werten wie Formulare und noch einige mehr. Im Gegensatz zu Formularen bieten Berichte die Möglichkeit, eine laufende Summe zu bilden oder Berechnungen

Mehr

Ein Blick voraus. des Autors von C++: Bjarne Stroustrup. 04.06.2005 Conrad Kobsch

Ein Blick voraus. des Autors von C++: Bjarne Stroustrup. 04.06.2005 Conrad Kobsch Ein Blick voraus des Autors von C++: Bjarne Stroustrup 04.06.2005 Conrad Kobsch Inhalt Einleitung Rückblick Nur eine Übergangslösung? Was würde C++ effektiver machen? Quelle 2 Einleitung Wo steht C++,

Mehr

Mean Time Between Failures (MTBF)

Mean Time Between Failures (MTBF) Mean Time Between Failures (MTBF) Hintergrundinformation zur MTBF Was steht hier? Die Mean Time Between Failure (MTBF) ist ein statistischer Mittelwert für den störungsfreien Betrieb eines elektronischen

Mehr

WEBSEITEN ENTWICKELN MIT ASP.NET

WEBSEITEN ENTWICKELN MIT ASP.NET jamal BAYDAOUI WEBSEITEN ENTWICKELN MIT ASP.NET EINE EINFÜHRUNG MIT UMFANGREICHEM BEISPIELPROJEKT ALLE CODES IN VISUAL BASIC UND C# 3.2 Installation 11 Bild 3.2 Der Webplattform-Installer Bild 3.3 IDE-Startbildschirm

Mehr

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten 2008 netcadservice GmbH netcadservice GmbH Augustinerstraße 3 D-83395 Freilassing Dieses Programm ist urheberrechtlich geschützt. Eine Weitergabe

Mehr

104 WebUntis -Dokumentation

104 WebUntis -Dokumentation 104 WebUntis -Dokumentation 4.1.9.2 Das elektronische Klassenbuch im Betrieb Lehrer Aufruf Melden Sie sich mit Ihrem Benutzernamen und Ihrem Passwort am System an. Unter den aktuellen Tagesmeldungen erscheint

Mehr

Gezielt über Folien hinweg springen

Gezielt über Folien hinweg springen Gezielt über Folien hinweg springen Nehmen wir an, Sie haben eine relativ große Präsentation. Manchmal möchten Sie über Folien hinweg zu anderen Folien springen. Das kann vorkommen, weil Sie den gesamten

Mehr

Welche Gedanken wir uns für die Erstellung einer Präsentation machen, sollen Ihnen die folgende Folien zeigen.

Welche Gedanken wir uns für die Erstellung einer Präsentation machen, sollen Ihnen die folgende Folien zeigen. Wir wollen mit Ihnen Ihren Auftritt gestalten Steil-Vorlage ist ein österreichisches Start-up mit mehr als zehn Jahren Erfahrung in IT und Kommunikation. Unser Ziel ist, dass jede einzelne Mitarbeiterin

Mehr

Liebe Interessierte an technischen Lösungen für die Sicherheit zu Hause,

Liebe Interessierte an technischen Lösungen für die Sicherheit zu Hause, Nutzerorientierung bei der Entwicklung technikgestützter Lebenswelten unter besonderer Berücksichtigung ambienter Technologien für die Lebensqualität von Menschen mit Demenz Studie Sicherheit Liebe Interessierte

Mehr

Hilfen zur Verwendung der Word-Dokumentvorlage des BIS-Verlags

Hilfen zur Verwendung der Word-Dokumentvorlage des BIS-Verlags Hilfen zur Verwendung der Word-Dokumentvorlage des BIS-Verlags 2013 style_sheet_bis_verlag_20130513 Arbeiten mit der Dokumentvorlage des BIS-Verlags... 3 Dokumentvorlage Wofür?... 3 Wohin mit der Dokumentvorlage...

Mehr

Um in das Administrationsmenü zu gelangen ruft Ihr Eure Seite auf mit dem Zusatz?mod=admin :

Um in das Administrationsmenü zu gelangen ruft Ihr Eure Seite auf mit dem Zusatz?mod=admin : WebsoziCMS 2.8.9 Kurzanleitung Stand: 10.04.2008 Andreas Kesting Diese Kurzanleitung zum WebsoziCMS 2.8.9 beschränkt beschränkt sich auf die häufigsten Tätigkeiten beim Administrieren Eurer Homepage: -

Mehr

Anwendungsbeispiele Sign Live! Secure Mail Gateway

Anwendungsbeispiele Sign Live! Secure Mail Gateway Anwendungsbeispiele Sign Live! Secure Mail Gateway Kritik, Kommentare & Korrekturen Wir sind ständig bemüht, unsere Dokumentation zu optimieren und Ihren Bedürfnissen anzupassen. Ihre Anregungen sind uns

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden.

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. Der Serienversand Was kann man mit der Maske Serienversand machen? 1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. 2. Adressen auswählen,

Mehr

Schulung Marketing Engine Thema : Einrichtung der App

Schulung Marketing Engine Thema : Einrichtung der App Schulung Marketing Engine Thema : Einrichtung der App Videoanleitung : http://www.edge-cdn.net/video_885168?playerskin=48100 Marketing Engine Tool : App Paket : Basis / Premium Version 2.0-03.11.2015 1

Mehr

Anleitung für die Hausverwaltung

Anleitung für die Hausverwaltung www.gruppenhaus.ch Version vom 15. September 2006 Autor Kontakt Gruppenhaus.ch GmbH support@gruppenhaus.ch Inhalt 1 Allgemeines... 2 1.1 Login... 2 1.2 Wenn Sie nicht mehr weiter wissen... 2 2 Belegungsplan...

Mehr

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Workshop Software GmbH Siemensstr. 21 47533 Kleve 02821 / 731 20 02821 / 731 299 www.workshop-software.de Verfasser: SK info@workshop-software.de

Mehr

Leichte-Sprache-Bilder

Leichte-Sprache-Bilder Leichte-Sprache-Bilder Reinhild Kassing Information - So geht es 1. Bilder gucken 2. anmelden für Probe-Bilder 3. Bilder bestellen 4. Rechnung bezahlen 5. Bilder runterladen 6. neue Bilder vorschlagen

Mehr

Der Leverage-Effekt wirkt sich unter verschiedenen Umständen auf die Eigenkapitalrendite aus.

Der Leverage-Effekt wirkt sich unter verschiedenen Umständen auf die Eigenkapitalrendite aus. Anhang Leverage-Effekt Leverage-Effekt Bezeichnungs- Herkunft Das englische Wort Leverage heisst Hebelwirkung oder Hebelkraft. Zweck Der Leverage-Effekt wirkt sich unter verschiedenen Umständen auf die

Mehr

Jederzeit Ordnung halten

Jederzeit Ordnung halten Kapitel Jederzeit Ordnung halten 6 auf Ihrem Mac In diesem Buch war bereits einige Male vom Finder die Rede. Dieses Kapitel wird sich nun ausführlich diesem so wichtigen Programm widmen. Sie werden das

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Einführungskurs MOODLE Themen:

Einführungskurs MOODLE Themen: Einführungskurs MOODLE Themen: Grundlegende Einstellungen Teilnehmer in einen Kurs einschreiben Konfiguration der Arbeitsunterlagen Konfiguration der Lernaktivitäten Die Einstellungen für einen Kurs erreichst

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es:

Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es: Bei Rückfragen erreichen Sie uns unter 0571-805474 Anleitung Die elektronische Rechnung als Fortsetzung der elektronischen Beauftragung so einfach geht es: Inhalt 1 Hintergrund zur elektronischen Rechnung

Mehr

Zwischenablage (Bilder, Texte,...)

Zwischenablage (Bilder, Texte,...) Zwischenablage was ist das? Informationen über. die Bedeutung der Windows-Zwischenablage Kopieren und Einfügen mit der Zwischenablage Vermeiden von Fehlern beim Arbeiten mit der Zwischenablage Bei diesen

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Umzug der abfallwirtschaftlichen Nummern /Kündigung

Umzug der abfallwirtschaftlichen Nummern /Kündigung Umzug der abfallwirtschaftlichen Nummern /Kündigung Um sich bei ebegleitschein abzumelden/ zu kündigen sind folgende Schritte notwendig: Schritt 1: Sie erteilen bifa Umweltinstitut GmbH den Auftrag, Ihre

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 Referentin: Dr. Kelly Neudorfer Universität Hohenheim Was wir jetzt besprechen werden ist eine Frage, mit denen viele

Mehr

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08

C.M.I. Control and Monitoring Interface. Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) Version 1.08 C.M.I. Version 1.08 Control and Monitoring Interface Zusatzanleitung: Datentransfer mit CAN over Ethernet (COE) de LAN LAN Beschreibung der Datentransfermethode Mit dieser Methode ist es möglich, analoge

Mehr

Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager

Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager Handbuch ECDL 2003 Modul 2: Computermanagement und Dateiverwaltung Der Task-Manager Dateiname: ecdl2_03_05_documentation Speicherdatum: 22.11.2004 ECDL 2003 Modul 2 Computermanagement und Dateiverwaltung

Mehr

UMSTELLUNG DER RÖNTGEN-SCHNITTSTELLE DÜRR-DBSWIN AUF DÜRR-VDDS

UMSTELLUNG DER RÖNTGEN-SCHNITTSTELLE DÜRR-DBSWIN AUF DÜRR-VDDS UMSTELLUNG DER RÖNTGEN-SCHNITTSTELLE DÜRR-DBSWIN AUF DÜRR-VDDS Pionier der Zahnarzt-Software. Seit 1986. 1 Seite 1/5 Diese Anleitung soll Ihnen dabei helfen, eine bestehende DBSWIN-Anbindung über den Patientendatenexport

Mehr

Objektorientierte Programmierung

Objektorientierte Programmierung Objektorientierte Programmierung 1 Geschichte Dahl, Nygaard: Simula 67 (Algol 60 + Objektorientierung) Kay et al.: Smalltalk (erste rein-objektorientierte Sprache) Object Pascal, Objective C, C++ (wiederum

Mehr