Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Größe: px
Ab Seite anzeigen:

Download "Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt"

Transkript

1 Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

2 Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung mittels Speicherfeldern Logikfelder PLD und FPGA Entwurfsablauf für FPGAs WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 2

3 Speicherfelder Können effizient größere Datenmengen speichern Drei weitverbreitete Typen: Dynamischer Speicher mit wahlfreiem Zugriff (Dynamic random access memory, DRAM) Statischer Speicher mit wahlfreiem Zugriff (Static random access memory, SRAM) Nur-Lesespeicher (Read only memory, ROM) An jede N-bit Adresse kann ein M-bit breites Datum geschrieben werden Adresse N Feld M Daten WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 3

4 Speicherfelder Zweidimensionales Feld von Bit-Zellen Jede Bit-Zelle speichert ein Bit Feld mit N Adressbits und M Datenbits: 2 N Zeilen und M Spalten Tiefe: Anzahl von Zeilen (Anzahl von Worten) Breite: Anzahl von Spalten (Bitbreite eines Wortes) Feldgröße: Tiefe Breite = 2 N M Adresse Daten Adresse N Feld Adresse 2 Feld Tiefe M Daten Daten Breite WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 4

5 Beispiel: Speicherfeld Bit Feld Anzahl Worte: 4 Wortbreite: 3-Bit Beispiel: 3-Bit gespeichert an Adresse 2 b10 ist 3 b100 Beispiel: Adresse Daten Adresse 2 Feld Tiefe Daten Breite WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 5

6 Speicherfelder Adresse Wort x 32-bit Feld 32 Daten WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 6

7 Bit-Zellen für Speicherfelder Beispiel: wordline bit bitline bitline = bitline = wordline = 1 wordline = 0 bitline = bitline = wordline = 1 wordline = 0 (a) (b) WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 7

8 Aufbau von Speicherfeldern aus Bit-Zellen Beispiel: wordline bit bitline wordline = 1 bitline = 0 wordline = 0 bitline = Z wordline = 1 bitline = 1 wordline = 0 bitline = Z (a) (b) WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 8

9 Aufbau von Speicherfeldern Wordline: Vergleichbar mit Enable-Signal Erlaubt Zugriff auf eine Zeile des Speichers zum Lesen oder Schreiben Entspricht genau einer eindeutigen Adresse Maximal eine Wordline ist zu jedem Zeitpunkt HIGH 2:4 Decoder bitline 2 bitline 1 bitline 0 11 wordline 3 Adresse 2 10 wordline 2 01 wordline 1 00 wordline 0 WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 9 Data 2 Data 1 Data 0

10 Arten von Speicher: Historische Sicht Speicher mit wahlfreiem Zugriff (RAM) Nur-Lese Speicher (ROM) WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 10

11 RAM: Random-Access Memory Flüchtig: Speicherinhalte gehen bei Verlust der Betriebsspannung verloren Kann i.d.r. gleich schnell gelesen und geschrieben werden Zugriff auf beliebige Adressen mit ähnlicher Verzögerung möglich Hauptspeicher moderner Computer ist dynamisches RAM (DRAM) Aktuell & genauer: DDR3-SDRAM Double Data Rate 3 - Synchronous Dynamic Random Access Memory Name RAM ist historisch gewachsen Früher unterschiedliche Zugriffszeiten auf unterschiedliche Adressen Bandspeicher, Trommelspeicher, Ultraschall-Laufzeitspeicher, WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 11

12 ROM: Read-Only Memory Nicht-flüchtig: Erhält Speicherinhalt auch ohne Betriebsspannung Schnell lesbar Schreibbar nur sehr langsam (wenn überhaupt) Flash-Speicher ist in diesem Sinne ein ROM Kameras Handys MP3-Player Auch hier Nomenklatur ROM historisch Auch aus ROMs kann von beliebigen Adressen gelesen werden Es gibt auch schreibbare Arten von ROMs PROMs, EPROMs, EEPROMs, Flash WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 12

13 Arten von RAM Zwei wesentliche Typen: Dynamisches RAM (DRAM) Statisches RAM (SRAM) Verwenden unterschiedliche Speichertechniken in den Bit-Zellen: DRAM: Kondensator SRAM: Kreuzgekoppelte Inverter WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 13

14 Robert Dennard, Erfand 1966 bei IBM das DRAM Anfangs große Skepsis, ob diese Technik praktikabel sei Seit Mitte der 1970er Jahre ist DRAM die am weitesten verbreitete Speichertechnik in Computern WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 14

15 DRAM Bit-Zelle Datenbit wird als Ladezustand eines Kondensators gespeichert Dynamisch: Der Speicherwert muss periodisch neu geschrieben werden Auffrischung alle paar Millisekunden erforderlich (üblich: 64ms) Kondensator verliert Ladung durch Leckströme und beim Auslesen wordline bit bitline wordline bit bitline WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 15

16 DRAM Bit-Zelle bitline bitline wordline gespeicherter Wert = wordline gespeicherter Wert = 0 WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 16

17 SRAM Bit-Zelle Datenbit wird als Zustand von rückgekoppelten Invertern gespeichert bitline Statisch: Keine Auffrischung erforderlich Inverter treiben Werte auf gültige Logikpegel wordline bit wordline bitline bitline WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 17

18 Speicherfelder 2:4 Decoder bitline 2 bitline 1 bitline 0 Adresse wordline 3 wordline 2 wordline 1 wordline 0 Data 2 Data 1 Data 0 DRAM Bit-Zelle: bitline wordline SRAM Bit-Zelle: wordline bitline bitline WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 18

19 ROMs: Aufbau der Bit-Zellen 2:4 Decoder 11 wordline bitline Adresse 2 10 = Bit-Zelle speichert 0 01 bitline 00 Data2 Data1 Data0 = wordline Bit-Zelle speichert 1 Bitlines sind schwach auf HIGH getrieben WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 19

20 Fujio Masuoka, Entwickelte Speicher und schnelle Schaltungen bei Toshiba von Erfand Flash-Speicher als eigenes ungenehmigtes Projekt in den späten 1970ern An Wochenenden und abends Löschvorgang erinnerte ihn an Kamerablitz Deshalb Flash-Speicher! Toshiba kommerzialisierte Technik nur zögerlich Erste kommerzielle Chips von Intel in 1988 Flash-Produkte haben großen Erfolg Derzeit USD 25 Milliarden Umsatz / Jahr WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 20

21 Flash-Speicher: Bit-Zelle Quelle:Wikipedia WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 21

22 ROMs als Datenspeicher Adresse 2 2:4 Decoder Adresse Daten Tiefe Data 2 Data 1 Data 0 Breite WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 22

23 ROMs als Wertetabellen für boolesche Logik Adresse 2 2:4 Decoder Data 2 = A 1 A 0 Data 1 = A 1 + A 0 01 Data 0 = A 1 A 0 00 Data 2 Data 1 Data 0 WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 23

24 Beispiel: Logik aus ROMs Implementierung der folgenden logischen Funktionen durch bit ROM: X = AB Y = A + B Z = AB Adresse 2 2:4 Decoder Data 2 Data 1 Data 0 WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 24

25 Beispiel: Logik aus ROMs Implementierung der folgenden logischen Funktionen durch bit ROM: X = AB Y = A + B Z = AB A, B 2 2:4 Decoder X Y Z WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 25

26 Logik aus beliebigem Speicherfeld 2:4 Decoder bitline 2 bitline 1 bitline 0 Adresse wordline 3 wordline 2 wordline 1 wordline 0 Data 2 = A 1 A 0 Data 2 Data 1 Data 0 Data 1 = A 1 + A 0 Data 0 = A 1 A 0 WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 26

27 Logik aus beliebigem Speicherfeld Implementierung der folgenden logischen Funktionen durch bit RAM: X = AB Y = A + B Z = AB 2:4 Decoder bitline 2 bitline 1 bitline 0 11 wordline 3 A, B 2 10 wordline 2 01 wordline 1 00 wordline 0 Andere Funktion nur durch Ändern der Speicherinhalte X Y Z WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 27

28 Logik aus beliebigen Speicherfeldern Speicherfelder speichern Wertetabellen Lookup-Tables (LUTs) 4-Wort x 1-bit Speicherfeld Wort aus Eingangsvariablen bildet Adresse Für jede Kombination von Eingangsvariablen ist Funktionsergebnis abgespeichert Wertetabelle A B Y A B 2:4 Decoder 00 A 1 A bitline Y WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 28

29 Multi-Port-Speicher Port: Zusammengehörige Anschlüsse für Adresse und Datum Drei-Port Speicher 2 Lese-Ports (A1/RD1, A2/RD2) 1 Schreib-Port (A3/WD3, Signal WE3 löst Schreiben aus) Kleine Multi-Port-Speicher werden als Registerfelder bezeichnet Werden z.b. in Prozessoren eingesetzt CLK N N A1 A2 WE3 RD1 RD2 M M N M A3 WD3 Array WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 29

30 Speicherfeld in VHDL x 3b Speicher mit einem Schreib/Lese-Port library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity dmem is port(clk, we: in STD_LOGIC; a: in STD_LOGIC_VECTOR(7 downto 0); di: in STD_LOGIC_VECTOR(2 downto 0); do: out STD_LOGIC_VECTOR(2 downto 0)); end; architecture synth of dmem is type ram_type is ARRAY(255 downto 0) of STD_LOGIC_VECTOR(2 downto 0); signal ram: ram_type; begin process (clk) begin if clk'event and clk = '1' then if we = '1' then ram(conv_integer(a)) <= di; end if; end if; end process; do <= ram(conv_integer(a)); end; WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 30

31 Logikfelder (logic arrays) Programmable Logic Arrays (PLAs) AND Feld gefolgt von OR Feld Kann nur kombinatorische Logik realisieren Feste interne Verbindungen, spezialisiert für DNF (SoP-Form) Field Programmable Gate Arrays (FPGAs) Feld von konfigurierbaren Logikblöcken (CLBs) Können kombinatorische und sequentielle Logik realisieren Programmierbare Verbindungsknoten zwischen Schaltungselementen WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 31

32 Boolesche Funktionen mit PLAs: Wiederholung X = ABC + ABC Y = AB Eingänge M AND Feld Implikanten N OR Feld P Ausgänge A B C OR-Feld ABC ABC AB AND-Feld WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 32 X Y

33 PLAs: Vereinfachte Schreibweise Eingänge M AND Feld Implikanten N OR Feld A B C P Ausgänge OR-Feld ABC ABC AB AND-Feld WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 33 X Y

34 FPGAs: Field Programmable Gate Arrays Bestehen grundsätzlich aus: CLBs (Configurable Logic Blocks): Realisieren kombinatorische und sequentielle Logik Konfigurierbare Logikblöcke IOBs (Input/Output Blocks): Schnittstelle vom Chip zur Außenwelt Ein-/Ausgabeblöcke Programmierbares Verbindungsnetz: verbindet CLBs und IOBs Kann flexibel Verbindungen je nach Bedarf der aktuellen Schaltung herstellen Reale FPGAs enthalten oftmals noch weitere Arten von Blöcken RAM Multiplizierer Manipulation von Taktsignalen (DCM) Sehr schnelle serielle Verbindungen (11 Gb/s) Komplette Mikroprozessoren WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 34

35 Struktur eines Xilinx Spartan 3 FPGA WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 35

36 Konfigurierbare Logikblöcke (CLBs) Bestehen im wesentlichen aus: LUTs (lookup tables): realisieren kombinatorische Funktionen Flip-Flops: realisieren sequentielle Funktionen Multiplexern: Verbinden LUTs und Flip-Flops WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 36

37 Xilinx Spartan 3 CLB WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 37

38 Xilinx Spartan CLB WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 38

39 Xilinx Spartan 3 CLB Ein Spartan 3 CLB enthält: 2 LUTs: F-LUT (2 4 x 1-bit LUT) G-LUT (2 4 x 1-bit LUT) 2 sequentielle Ausgänge: XQ YQ 2 kombinatorische Ausgänge: X Y WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 39

40 Beispiel: Kombinatorische Logik mit CLBs Berechnung der folgenden Funktionen mit dem Spartan 3 CLB X = ABC + ABC Y = AB WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 40

41 Beispiel: Kombinatorische Logik mit CLBs Berechnung der folgenden Funktionen mit dem Spartan 3 CLB X = ABC + ABC Y = AB F4 X X X X X X X x (A) (B) (C) (X) F F2 F1 F G4 X X X X G3 X X X X (A) (B) (Y) G2 G1 G A B 0 A B C G4 G3 G2 G1 F4 F3 F2 F1 G F Y X WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 41

42 Entwurfsfluß für FPGAs Wird in der Regel durch Entwurfswerkzeuge unterstützt Beispiel: Xilinx ISE Ist in der Regel ein iterativer Prozess: Planen Implementieren Simulieren Wiederhole Entwickler denkt nach Entwickler gibt Entwurf als Schaltplan oder HDL-Beschreibung ein Entwickler wertet Simulationsergebnisse aus Wenn Simulation zufriedenstellend: Synthetisiere Entwurf in Netzliste Bilde Netzliste auf FPGA-Konfiguration ab (CLBs, IOBs, Verbindungsnetz) Lade Konfigurationsdaten (bit stream) auf FPGA Teste Schaltung nun in realer Hardware WS 10/11 Technische Grundlagen der Informatik - Kapitel 5 - Prof. Sorin A. Huss 42

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

Anwendungsbeispiele Buchhaltung

Anwendungsbeispiele Buchhaltung Rechnungen erstellen mit Webling Webling ist ein Produkt der Firma: Inhaltsverzeichnis 1 Rechnungen erstellen mit Webling 1.1 Rechnung erstellen und ausdrucken 1.2 Rechnung mit Einzahlungsschein erstellen

Mehr

mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger

mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger mywms Vorlage Seite 1/5 mywms Datenhaltung von Haug Bürger Grundlegendes Oracle9i PostgreSQL Prevayler Memory mywms bietet umfangreiche Konfigurationsmöglichkeiten um die Daten dauerhaft zu speichern.

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Drahtlosnetzwerke automatisch konfigurieren mit WCN (Windows Connect Now) unter Windows Vista

Drahtlosnetzwerke automatisch konfigurieren mit WCN (Windows Connect Now) unter Windows Vista Drahtlosnetzwerke automatisch konfigurieren mit WCN (Windows Connect Now) unter Windows Vista Windows Connect Now (WCN) erlaubt eine einfache Einrichtung eines WLAN Netzwerkes wenn der Router oder Access

Mehr

Objektorientierte Programmierung

Objektorientierte Programmierung Objektorientierte Programmierung 1 Geschichte Dahl, Nygaard: Simula 67 (Algol 60 + Objektorientierung) Kay et al.: Smalltalk (erste rein-objektorientierte Sprache) Object Pascal, Objective C, C++ (wiederum

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

OPERATIONEN AUF EINER DATENBANK

OPERATIONEN AUF EINER DATENBANK Einführung 1 OPERATIONEN AUF EINER DATENBANK Ein Benutzer stellt eine Anfrage: Die Benutzer einer Datenbank können meist sowohl interaktiv als auch über Anwendungen Anfragen an eine Datenbank stellen:

Mehr

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen.

Tel.: 040-528 65 802 Fax: 040-528 65 888 Email: support_center@casio.de. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Ein Text oder Programm in einem Editor schreiben und zu ClassPad übertragen. Die auf dem PC geschriebene Texte oder Programme können über dem ClassPad Manager zu ClassPad 300 übertragen werden. Dabei kann

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Programmierkurs Java

Programmierkurs Java Programmierkurs Java Dr. Dietrich Boles Aufgaben zu UE16-Rekursion (Stand 09.12.2011) Aufgabe 1: Implementieren Sie in Java ein Programm, das solange einzelne Zeichen vom Terminal einliest, bis ein #-Zeichen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Eine Anleitung, wie Sie Mozilla Thunderbird 2 installieren und konfigurieren können. Installation... 2. Erstkonfiguration... 4

Eine Anleitung, wie Sie Mozilla Thunderbird 2 installieren und konfigurieren können. Installation... 2. Erstkonfiguration... 4 E-Mail-Client Mozilla Thunderbird für Studierende Installation und Einrichtung Mozilla Thunderbird ist ein Open-Source-E-Mail-Programm und -Newsreader des Mozilla-Projekts. Es ist neben Microsoft Outlook

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Benutzung der LS-Miniscanner

Benutzung der LS-Miniscanner Benutzung der LS-Miniscanner Seit Januar 2010 ist es möglich für bestimmte Vorgänge (Umlagerungen, Retouren, Inventur) die von LS lieferbaren Miniscanner im Format Autoschlüsselgröße zu benutzen. Diese

Mehr

ACDSee Pro 2. ACDSee Pro 2 Tutorials: Übertragung von Fotos (+ Datenbank) auf einen anderen Computer. Über Metadaten und die Datenbank

ACDSee Pro 2. ACDSee Pro 2 Tutorials: Übertragung von Fotos (+ Datenbank) auf einen anderen Computer. Über Metadaten und die Datenbank Tutorials: Übertragung von Fotos (+ ) auf einen anderen Computer Export der In dieser Lektion erfahren Sie, wie Sie am effektivsten Fotos von einem Computer auf einen anderen übertragen. Wenn Sie Ihre

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Hilfedatei der Oden$-Börse Stand Juni 2014

Hilfedatei der Oden$-Börse Stand Juni 2014 Hilfedatei der Oden$-Börse Stand Juni 2014 Inhalt 1. Einleitung... 2 2. Die Anmeldung... 2 2.1 Die Erstregistrierung... 3 2.2 Die Mitgliedsnummer anfordern... 4 3. Die Funktionen für Nutzer... 5 3.1 Arbeiten

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Programmieren I. Kapitel 15. Ein und Ausgabe

Programmieren I. Kapitel 15. Ein und Ausgabe Programmieren I Kapitel 15. Ein und Ausgabe Kapitel 15: Ein und Ausgabe Ziel: einen kleinen Einblick in die vielfältigen IO Klassen geben Grober Überblick Klasse File zur Verwaltung von Dateien Random

Mehr

Über Arrays und verkettete Listen Listen in Delphi

Über Arrays und verkettete Listen Listen in Delphi Über Arrays und verkettete Listen Listen in Delphi Michael Puff mail@michael-puff.de 2010-03-26 Inhaltsverzeichnis Inhaltsverzeichnis 1 Einführung 3 2 Arrays 4 3 Einfach verkettete Listen 7 4 Doppelt verkettete

Mehr

Funktionsbeschreibung. Lieferantenbewertung. von IT Consulting Kauka GmbH

Funktionsbeschreibung. Lieferantenbewertung. von IT Consulting Kauka GmbH Funktionsbeschreibung Lieferantenbewertung von IT Consulting Kauka GmbH Stand 16.02.2010 odul LBW Das Modul LBW... 3 1. Konfiguration... 4 1.1 ppm... 4 1.2 Zertifikate... 5 1.3 Reklamationsverhalten...

Mehr

Hier ist die Anleitung zum Flashen des MTK GPS auf der APM 2.0. Prinzipiell funktioniert es auch auf der APM 2.5 und APM 1.

Hier ist die Anleitung zum Flashen des MTK GPS auf der APM 2.0. Prinzipiell funktioniert es auch auf der APM 2.5 und APM 1. Hier ist die Anleitung zum Flashen des MTK GPS auf der APM 2.0. Prinzipiell funktioniert es auch auf der APM 2.5 und APM 1. Vorweg: Die neue MTK Firmware 1.9 (AXN1.51_2776_3329_384.1151100.5_v19.bin) ist

Mehr

E-TIME ADVANCED Dokumentation zum Vorgehen bei der elektronischen Zeiterfassung. Geben Sie folgende Internetadresse ein: https://www.kellyweb.

E-TIME ADVANCED Dokumentation zum Vorgehen bei der elektronischen Zeiterfassung. Geben Sie folgende Internetadresse ein: https://www.kellyweb. E-TIME ADVANCED Dokumentation zum Vorgehen bei der elektronischen Zeiterfassung Geben Sie folgende Internetadresse ein: https://www.kellyweb.de Anmeldung und Stundeneingabe 1. Bitte geben Sie Ihre Userdaten

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

estos UCServer Multiline TAPI Driver 5.1.30.33611

estos UCServer Multiline TAPI Driver 5.1.30.33611 estos UCServer Multiline TAPI Driver 5.1.30.33611 1 estos UCServer Multiline TAPI Driver... 4 1.1 Verbindung zum Server... 4 1.2 Anmeldung... 4 1.3 Leitungskonfiguration... 5 1.4 Abschluss... 5 1.5 Verbindung...

Mehr

Verbuchung von Zahlungseingängen über das PayPal-Konto

Verbuchung von Zahlungseingängen über das PayPal-Konto Verbuchung von Zahlungseingängen über das PayPal-Konto Um den Zahlungseingang eines Kunden über ein PayPal-Konto mit Abzug von PayPalGebühren in Ihrer büro easy-firmendatei zu erfassen, müssen folgende

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15 Historical Viewer zu ETC5000 Benutzerhandbuch 312/15 Inhaltsverzeichnis 1 Allgemeine Hinweise... 3 1.1 Dokumentation...3 2 Installation... 3 3 Exportieren der Logdatei aus dem ETC 5000... 3 4 Anlegen eines

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Hinweise zur Installation der USB Treiber für Windows XP 32bit

Hinweise zur Installation der USB Treiber für Windows XP 32bit Hinweise zur Installation der USB Treiber für Windows XP 32bit Änderung des Installationsablaufes seit 1.11.2012 Gilt für folgende Produkte: 9352-USB / 9352-USB.05M, 9352-S7-USB, sowie 9352 / 9352.05M

Mehr

ACDSee Pro 3-Tutorials: Versenden von Bilder an eine FTP-Site

ACDSee Pro 3-Tutorials: Versenden von Bilder an eine FTP-Site Eine der Freuden beim Fotografieren ist es, seine Bilder anderen zu zeigen. Sie können den FTPjederzeit für das Übertragen, Speichern, den Zugriff auf und die Freigabe von Fotos nutzen. Uploader Wählen

Mehr

Einrichtung des DFÜ-Netzwerkes

Einrichtung des DFÜ-Netzwerkes Einrichtung des DFÜ-Netzwerkes Für BITel-Kunden unter Windows 98 Vor der Einrichtung des DFÜ-Netzwerkes muss zum einen die ISDN Karte oder der externe ISDN Plug in Ihrem PC installiert sein und zum anderen

Mehr

1CONFIGURATION MANAGEMENT

1CONFIGURATION MANAGEMENT 1CONFIGURATION MANAGEMENT Copyright 11. April 2005 Funkwerk Enterprise Communications GmbH Bintec Benutzerhandbuch - X2250 Version 1.0 Ziel und Zweck Haftung Marken Copyright Richtlinien und Normen Wie

Mehr

Felder. November 5, 2014

Felder. November 5, 2014 Felder Universität Bielefeld AG Praktische Informatik November 5, 2014 Felder: Datenstrukturen mit konstantem Zugriff Felder nennt man auch, Vektoren, Matrizen,... Konstanter Zugriff heisst: Zugriff auf

Mehr

Elektronischer Kontoauszug

Elektronischer Kontoauszug Elektronischer Kontoauszug Mit dem elektronischen Kontoauszug können Sie den papierhaften Auszug, den Sie bisher per Post oder an einem unserer Kontoauszugsdrucker erhalten, vollständig ersetzen. Ihre

Mehr

Lokale Installation von DotNetNuke 4 ohne IIS

Lokale Installation von DotNetNuke 4 ohne IIS Lokale Installation von DotNetNuke 4 ohne IIS ITM GmbH Wankelstr. 14 70563 Stuttgart http://www.itm-consulting.de Benjamin Hermann hermann@itm-consulting.de 12.12.2006 Agenda Benötigte Komponenten Installation

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

SharePoint Demonstration

SharePoint Demonstration SharePoint Demonstration Was zeigt die Demonstration? Diese Demonstration soll den modernen Zugriff auf Daten und Informationen veranschaulichen und zeigen welche Vorteile sich dadurch in der Zusammenarbeit

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Erstellen einer digitalen Signatur für Adobe-Formulare

Erstellen einer digitalen Signatur für Adobe-Formulare Erstellen einer digitalen Signatur für Adobe-Formulare (Hubert Straub 24.07.13) Die beiden Probleme beim Versenden digitaler Dokumente sind einmal die Prüfung der Authentizität des Absenders (was meist

Mehr

Ihr Elektronisches Postfach Kostenloser Mehrwert für Ihr Online-Banking. Sehr geehrte Kundin, sehr geehrter Kunde,

Ihr Elektronisches Postfach Kostenloser Mehrwert für Ihr Online-Banking. Sehr geehrte Kundin, sehr geehrter Kunde, Sehr geehrte Kundin, sehr geehrter Kunde, mit der Freischaltung Ihrer Konten zum Online-Banking richten wir Ihnen in unserer Internetfiliale www.vereinigte-sparkassen.de Ihr persönliches und kostenloses

Mehr

Bedienungsanleitung PC-Konfigurationssoftware des ID Inclinometers

Bedienungsanleitung PC-Konfigurationssoftware des ID Inclinometers Bedienungsanleitung PC-Konfigurationssoftware des ID Inclinometers 1. Installation und Programmstart Die Verbindung zum Inclinometer funktioniert nicht unter Windows XP, 2000. 1.1 Installation Zur Installation

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen.

1) Farbsteuergerät in der Nikobus-Software unter Modul zufügen hinzufügen. Programmierung des Farbsteuergeräts 340-00112 für Nikobus Diese Bedienungsanleitung gilt auch für die Nikobus-Produkte 340-00111 und 340-00113. Achtung: einige der aufgeführten Betriebsarten sind nur auf

Mehr

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 -

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 - Matrix42 Use Case - Sicherung und Rücksicherung persönlicher Version 1.0.0 23. September 2015-1 - Inhaltsverzeichnis 1 Einleitung 3 1.1 Beschreibung 3 1.2 Vorbereitung 3 1.3 Ziel 3 2 Use Case 4-2 - 1 Einleitung

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

1 Konto für HBCI/FinTS mit Chipkarte einrichten

1 Konto für HBCI/FinTS mit Chipkarte einrichten 1 Konto für HBCI/FinTS mit Chipkarte einrichten Um das Verfahren HBCI/FinTS mit Chipkarte einzusetzen, benötigen Sie einen Chipkartenleser und eine Chipkarte. Die Chipkarte erhalten Sie von Ihrem Kreditinstitut.

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

BEDIENUNGSANLEITUNG: EINREICH-TOOL

BEDIENUNGSANLEITUNG: EINREICH-TOOL BEDIENUNGSANLEITUNG: EINREICH-TOOL Bewerber können ihre maximal 60 Minuten langen Beiträge in neun Kategorien bis zum 07.04.2015 per Upload über die Website www.oesterreichischer-radiopreis.at einreichen.

Mehr

Das Handbuch zu KNetAttach. Orville Bennett Übersetzung: Thomas Bögel

Das Handbuch zu KNetAttach. Orville Bennett Übersetzung: Thomas Bögel Orville Bennett Übersetzung: Thomas Bögel 2 Inhaltsverzeichnis 1 Einführung 5 2 KNetAttach verwenden 6 2.1 Hinzufügen von Netzwerkordnern............................ 6 3 Rundgang durch KNetAttach 8 4 Danksagungen

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000

Einrichten eines Postfachs mit Outlook Express / Outlook bis Version 2000 Folgende Anleitung beschreibt, wie Sie ein bestehendes Postfach in Outlook Express, bzw. Microsoft Outlook bis Version 2000 einrichten können. 1. Öffnen Sie im Menü die Punkte Extras und anschließend Konten

Mehr

GEZIELT MEHR SICHERHEIT MIT 4I ACCESS SERVER & 4I CONNECT CLIENT

GEZIELT MEHR SICHERHEIT MIT 4I ACCESS SERVER & 4I CONNECT CLIENT Seite 1/7 GEZIELT MEHR SICHERHEIT MIT 4I ACCESS SERVER & 4I CONNECT CLIENT ZENTRAL LOKALE MANAGEMENT-PLATTFORM FÜR EINE W ELTWEIT SICHERE INDUSTRIELLE KOMMUNIKATION. Seite 2/7 Auf den folgenden Seiten

Mehr

Anleitung zur Nutzung des SharePort Plus

Anleitung zur Nutzung des SharePort Plus Besuchen Sie uns auf unserer Webseite www.dlink.de, www.dlink.at, www.dlink.ch oder unserer Facebook Seite http://www.facebook.com/dlinkgmbh Anleitung zur Nutzung des SharePort Plus Mit dem SharePort Plus

Mehr

How-to: Webserver NAT. Securepoint Security System Version 2007nx

How-to: Webserver NAT. Securepoint Security System Version 2007nx Securepoint Security System Inhaltsverzeichnis Webserver NAT... 3 1 Konfiguration einer Webserver NAT... 4 1.1 Einrichten von Netzwerkobjekten... 4 1.2 Erstellen von Firewall-Regeln... 6 Seite 2 Webserver

Mehr

Anwendungshinweis Nr. 12. Wie konfiguriere ich redundante Serververbindungen

Anwendungshinweis Nr. 12. Wie konfiguriere ich redundante Serververbindungen Anwendungshinweis Nr. 12 Produkt: Schlüsselworte: Problem: Softing OPC Easy Connect OPC Server, Redundanz Wie konfiguriere ich redundante Lösung: Ausgangssituation: Eine OPC Client-Anwendung ist mit mehreren

Mehr

Sortieren durch Einfügen. Prof. Dr. W. Kowalk Sortieren durch Einfügen 1

Sortieren durch Einfügen. Prof. Dr. W. Kowalk Sortieren durch Einfügen 1 Sortieren durch Einfügen Prof. Dr. W. Kowalk Sortieren durch Einfügen 1 Schon wieder aufräumen Schon wieder Aufräumen, dabei habe ich doch erst neulich man findet alles schneller wieder Bücher auf Regal

Mehr

e-books aus der EBL-Datenbank

e-books aus der EBL-Datenbank e-books aus der EBL-Datenbank In dieser Anleitung wird erklärt, wie Sie ein ebook aus der EBL-Datenbank ausleihen und mit dem Programm Adobe Digital Edition öffnen. Folgende Vorraussetzungen sind eventuell

Mehr

Kapitel 4 Die Datenbank Kuchenbestellung Seite 1

Kapitel 4 Die Datenbank Kuchenbestellung Seite 1 Kapitel 4 Die Datenbank Kuchenbestellung Seite 1 4 Die Datenbank Kuchenbestellung In diesem Kapitel werde ich die Theorie aus Kapitel 2 Die Datenbank Buchausleihe an Hand einer weiteren Datenbank Kuchenbestellung

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

STRATO Mail Einrichtung Mozilla Thunderbird

STRATO Mail Einrichtung Mozilla Thunderbird STRATO Mail Einrichtung Mozilla Thunderbird Einrichtung Ihrer E-Mail Adresse bei STRATO Willkommen bei STRATO! Wir freuen uns, Sie als Kunden begrüßen zu dürfen. Mit der folgenden Anleitung möchten wir

Mehr

Local Control Network

Local Control Network Netzspannungsüberwachung (Stromausfallerkennung) Die Aufgabe Nach einem Stromausfall soll der Status von Aktoren oder Funktionen wieder so hergestellt werden, wie er vor dem Stromausfall war. Die Netzspannungsüberwachung

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

Stand: 28.11.2012. Adressnummern ändern Modulbeschreibung

Stand: 28.11.2012. Adressnummern ändern Modulbeschreibung Seite 1 Inhalt Allgemein...3 Installation...3 manuelle Eingabe von alten und neuen Adressnummern...4 Vorbereiten von Adressnummern-Änderungen in Tabellen...5 Seite 2 Allgemein Das INKS-Modul ermöglicht

Mehr