Teil 1: Digitale Logik

Größe: px
Ab Seite anzeigen:

Download "Teil 1: Digitale Logik"

Transkript

1 Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1

2 Tri-State Ausgangslogik Ausgang eines Tri-State Puffers kann die Zustände 0, 1 oder X ( floating bzw. unconnected ) annehmen 2

3 ROM und PROM Festwertspeicher (ROM = Read-Only Memory ) enthalten bei Herstellung verdrahtete und nicht änderbare Information Inhalt eines PROM ( Programmable Read-Only Memory ) kann einmalig vom Anwender programmiert werden Aufbau eines 8 4 Bit ROMs bzw. PROMs: 3

4 EPROM und EEPROM EPROM ( Erasable Programmable Read-Only Memory ) basierend auf Floating-Gate MOS-FET Floating-Gate kann Ladung speichern (sperrt mit Ladung auch bei U GS > U S) kann einzeln programmiert werden (durch Überspannung U DS ~20 V für 5ms) nur komplett löschbar (durch UV-Licht, ~ 20 Minuten) EEPROM ( Electrically Erasable Programmable ROM ) Floating-Gate MOS-FET in E 2 CMOS Technologie jedes Bit einzeln löschbar (durch Überspannung U GS ~20 V für 5ms) 4

5 Kombinatorische Logik mit PROM Schematische Darstellung eines PROM (hier mit 16 Worten à 4 Bit): Adreßdekoder entspricht einer festen UND-Matrix Koppelelemente entsprechen einer programmierbaren ODER-Matrix realisiert Wahrheitstabelle in Hardware ein PROM mit 2 m Worten à n Bit kann jede beliebige boolesche Funktion f : {0,1} m {0,1} n ohne Minimierung implementieren 5

6 Kombinatorische Logik mit PROM (Forts.) Beispiel: 2x2 Bit Multiplizierer 6

7 Kombinatorische Logik mit PAL PAL = Programmable Array Logic Schematische Darstellung eines PAL-Bausteins (hier ein PAL 4H4 mit 4 Eingängen, 4 Ausgängen und 4 Produkttermen je Ausgang) Aufbau: frei programmierbare UND-Matrix Produktterme werden mit fester ODER- Matrix verknüpft kann jede (minimierte) Summe von Produkten realisieren, wenn Zahl der Produktterme je ODER ausreicht 7

8 Kombinatorische Logik mit PLA PLA = Programmable Logic Array bereits 1970 eingeführt (Philips) Schematische Darstellung eines PLA-Bausteins (hier ein PLA mit 4 Eingängen, 4 Ausgängen und 16 Produkttermen): Aufbau: frei programmierbare UND-Matrix frei programmierbare ODER-Matrix kann jede boolesche Funktion realisieren, wenn Gesamtzahl der Produktterme im PLA ausreicht 8

9 PAL- und GAL-Bausteine PAL ( Programmable Array Logic ) eingetragenes Warenzeichen der Fa. AMD bzw. Lattice ursprünglich in TTL, heute auch in CMOS ursprünglich nur einmal und irreversibel programmierbar, heute z.t. auch wiederprogrammierbar GAL ( Generic Array Logic ) entwickelt von der Fa. Lattice ausschließlich in CMOS wiederprogrammierbar durch E 2 CMOS-Technologie 9

10 PAL- und GAL-Bausteine (Forts.) weitgehend einheitliche Nomenklatur: PAL/GAL xx Typ yy, mit xx: Zahl der Eingänge der UND-Matrix yy: Zahl der Ausgänge Typ: (Auswahl) H = high output (ODER am Ausgang) L = low output (NOR am Ausgang) X = xor (ODER-Ausgänge mit XOR verknüpfbar) R = registered (mit D Flip-Flops, für Zustandsmaschinen) AR = registered, asynchronous (für asynchrone Schaltungen) V = various (flexible Logik-Makrozelle) XV = xor, various (flexible Logik-Makrozelle mit XOR) 10

11 PAL- und GAL Bausteine (Forts.) Beispiel 1: PAL16R6 6 Ausgänge mit D Flip-Flops, zentral getaktet 2 Ausgänge ohne Register UND-Matrix mit 16 Eingängen (10 extern, 6 rückgekoppelt) 8 Produktterme je ODER-Gatter 8 Ausgänge in Tri-State Logik, davon 6 zentral über Signal OE gesteuert und 2 über Produktterm 11

12 PAL- und GAL Bausteine (Forts.) Beispiel 2: PAL22V10 10 Logik-Makrozellen (OLMC) mit D Flip-Flop und Summe von max. 16 Produkttermen an D zentraler Takt 10 Tri-State Ausgänge, über Produktterm in OLMC gesteuert max. 22 Eingänge zentraler asynchroner Reset AR, synchroner Preset SP 12

13 Beispiel: Zustandsmaschine mit PAL 16R6 13

14 Klassifikation von PLDs 3 Klassen von programmierbaren logischen Bausteinen SPLD ( Simple Programmable Logic Device ): ROM/PROM, PAL/GAL, PLA CPLD ( Complex Programmable Logic Device ): Kombination mehrerer SPLDs auf einem Chip, auch als EPLD ( Enhanced PLD ), Super PAL oder Mega PAL bezeichnet FPGA ( Field Programmable Gate Array ): Baustein mit einer sehr hohen Anzahl von programmierbaren Logik-Makrozellen, die beliebig untereinander verbunden werden können 14

15 CPLDs Komponenten eines CPLD: LAB ( Logic Array Block ) entspricht einem PAL-Baustein, besteht aus programmierbarer UND-Matrix sowie flexiblen Logik-Makrozellen IOB ( I/O Block ) ermöglicht die freie programmierbare Nutzung jedes Pins zur Ein- oder Ausgabe PIA ( Programmable Interconnect Array ) gestattet eine freie Verschaltung der LAB Ein- und Ausgänge sowie der IOBs Realisierung i.a. mit EEPROMs 15

16 Beispiel: CPLD Altera MAX

17 Beispiel: CPLD Altera MAX7000 (Forts.) Aufbau einer Logik-Makrozelle: 17

18 Beispiel: CPLD Altera MAX7000 (Forts.) Aufbau eines IOBs: Realisierung der PIA: 18

19 Beispiel: CPLD Altera MAX7000 (Forts.) Bausteine der Altera MAX7000 Familie: Ähnliche CPLDs von anderen Herstellern, z.b.: Lattice plsi, Cypress Flash370, Xylinx XC

20 FPGAs Aufbau eines FPGA: zweidimensionales Feld aus CLBs ( Complex Logic Block ) und IOBs ( I/O Block ) horizontale und vertikale Routing Kanäle mit Leitungssegmenten verschiedener Länge programmierbaren Schaltern zur Verbindung von CLBs und IOBs 20

21 Beispiel: FPGA Xilinx Spartan Aufbau eines CLB ( Complex Logic Block ): zwei 16 1 Bit LUT ( Lookup Table ) stellen beliebige boolesche Funkt. f (A,B,C,D) dar ein 8 1 Bit LUT zwei D-Flip-Flops mit gemeinsamen Signalen Clk, EC ( Enable Clock ), SR ( Set/Reset ) sechs Multiplexer zur Eingangswahl 21

22 Beispiel: FPGA Xilinx Spartan (Forts.) Aufbau eines IOB ( I/O Block ): je ein D-Flip-Flop für Ausgang und Eingang, zentrale Signale Clk und EC, pegel- oder flankengesteuert Flip-Flops überbrückbar Tri-State Puffer mit programmierbarer Flankensteilheit programmierbare E/A-Signalpegel 22

23 Beispiel: FPGA Xilinx Spartan (Forts.) Aufbau der Routing-Kanäle: Funktionalität eines PSM-Elementes: PSM ( Programmable Switch Matrix ) zur Verbindung von Leistungssegmenten horizontal und vertikal verfügbare Leitungen: Single (zum nächsten PSM) Double (zum übernächsten PSM) Long (für globale Signale) 23

24 Beispiel: FPGA Xilinx Spartan (Forts.) Bausteine der Xilinx Spartan FPGA Famile: Weitere FPGA Bausteine: Xilinx Spartan II (max Gatter, = 1176 CLBs) Xilinx Virtex (max Gatter, = CLBs) Altera FLEX (max Gatter, 2280 CLBs) Altera APEX II (max Gatter, CLBs) 24

25 Computergestützter Entwurf eines PLD Ausgangspunkt ist eine funktionelle Beschreibung in einer Hardware-Beschreibungssprache (wie z.b. ABEL, VHDL,... ) weitere Schritte: viele CPLDs und FPGAs können auch direkt im Zielsystem programmiert werden 25

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 9. Vorlesung Klaus Kasper Inhalt Realisierung digitaler Systeme Nutzung isplever Automaten Moore-Automat Mealy-Automat Beispiel Übung Massenspeicher Digitaltechnik 2 2 Realisierung

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 17. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung ROM Inhalt Realisierung digitaler Systeme Endliche Automaten

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 16. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Inhalt Wiederholung: Gleitkommadarstellung Konstruktion Normalisierte /

Mehr

PALs, CPLDs und FPGAs

PALs, CPLDs und FPGAs PALs, CPLDs und FPGAs P. Fischer, ziti, Uni Heidelberg, Seite 1 Bezeichnungen Sehr ähnliche Bauelemente werden oft unterschiedlich bezeichnet, z.t. nur aus Marketing-Gründen PLD = Programmable Logic Devices

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6 Inhaltsverzeichnis 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1 2 Operationssystem der Schaltalgebra 4 3 Boolesche Funktionen 6 4 Boolesche Funktionen kombinatorischer Schaltungen 8 4.1 Begriffsbestimmung

Mehr

Spartan-II 2,5V Familie FPGAs

Spartan-II 2,5V Familie FPGAs - 1 - Spartan-II 2,5V Familie FPGAs Proseminar FPGAs SS 2003 1. Einfuehrung 2. Architektur 2.1 Ein-/Ausgabe Block 2.2 Konfigurierbare Logik Block (CLB) 2.3 Programmierbare Routing Matrix (PRM) 2.4 Block

Mehr

Programmierbare Logikbauelemente

Programmierbare Logikbauelemente Programmierbare Logikbauelemente Architekturen und Anwendungen von Axel Sikora mit 148 Bildern und 31 Tabellen HANSER Grundlagen 13 1.1 Einführung 13 1.2 Grundlagen digitaler Schaltungen 15 1.2.1 Grandlagen

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 7. Vorlesung Klaus Kasper Inhalt Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Digitaltechnik 2 2 Digitaltechnik

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 4 Technologische

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Programmierung Input PLD Programmable Logic Device Output Liers - PEG-Vorlesung WS2000/2001 - Institut für Informatik - FU Berlin 1 /X X Grundgedanke Input Matrix Logikverknüpfung

Mehr

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1

Zieltechnologien. Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1 Zieltechnologien Welcher ASIC-Typ passt zu meinem Design? A. Steininger / TU Wien 1 Überblick Terminologie ASIC-Typen und ihre Charakteristika Programmable Logic Devices: Prinzipien Programmierbare Logikzellen

Mehr

Inhaltsverzeichnis. 1 Einleitung 1

Inhaltsverzeichnis. 1 Einleitung 1 vn 1 Einleitung 1 2 Codierung und Zahlensysteme... 3 2.1 Codes... 3 2.2 Dualcode....4 2.3 Festkonnna-Arithmetik im Dualsystem... 5 2.3.1 Ganzzahlige Addition im Dualsystem... 5 2.3.2 Addition von Festkommazahlen...

Mehr

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Einführung Jorge Meza jorge.meza@tu-ilmenau.de Zusebau R2082, Tel: -4128 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Teil V. Programmierbare Logische Arrays (PLAs)

Teil V. Programmierbare Logische Arrays (PLAs) Teil V Programmierbare Logische Arrays (PLAs) 1 Aufbau von PLAs Programmierbares Logisches Array (PLA): Programmierbarer Einheitsbaustein aufgebaut als ein Gitter (Array) von Basisbausteinen (Zellen).

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

VHDL - Technologische Grundlagen

VHDL - Technologische Grundlagen VHDL - Technologische Grundlagen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 2012 1 / 48 Gliederung Technologien Programmierbare Logikbausteine

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr.Jürgen Reichardt 3., überarbeitete und erweiterte Auflage Oldenbourg Verlag München Inhaltsverzeichnis Vorwort zur 3. Auflage V 1 Einleitung

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

B Hauptspeicher und Cache

B Hauptspeicher und Cache und Cache und Cache Einordnung in das Schichtenmodell: 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Entwurf integrierter Schaltungen

Entwurf integrierter Schaltungen 1.2 Entwurf integrierter Schaltungen Entwurf integrierter Schaltungen Randbedingungen Strukturorientierte Klassifizierung integrierter Schaltungen Flexibilität hat ihren Preis Optimierte Individualisten

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23.

Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN. Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. Seminar REKONFIGURIERBARE HARDWARE- STRUKTUREN Alexander Giese Universität Mannheim Lehrstuhl für Rechnerarchitektur 23. November 2004 1 Gliederung 1. ASIC/FPGA 1.1 ASIC, Vor/Nachteile 1.2 FPGA, Vor/Nachteile

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

E Hauptspeicher und Cache

E Hauptspeicher und Cache und Cache 1. Begriffe 2. SRAM 3. DRAM 4. DRAM-Varianten: EDO-RAM, SDRAM, DDR-RAM, RAMBUS 5. Festwertspeicher: PROM, EPROM, EEPROM 6. Exkurs: Assoziativspeicher 7. Cache 1 und Cache Einordnung in das Schichtenmodell:

Mehr

Disitaltechni. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen

Disitaltechni. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen Klaus Fricke Disitaltechni Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen STUDIUM VIEWEG+ TEUBNER VII Inhaltsverzeichnis 1 Einleitung.1

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren

SPKC. Inhalte der Vorlesung. Signalprozessoren und Kommunikationscontroller. Prof. Dr.-Ing. Peter Schulz. Signalprozessoren Signalprozessoren und Kommunikationscontroller für den Schwerpunkt Telekommunikationstechnik: für alle anderen Schwerpunkte: Pflichtfach Wahlpflichtfach Inhalte der Vorlesung Signalprozessoren Systemarchitekturen

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Entwicklung logischer Schaltungen mit GALs Die GALs 16V8 und 20V8 ersetzen Stadard-Logikbausteine, ideal für Entwicklung und Kleinserien

Entwicklung logischer Schaltungen mit GALs Die GALs 16V8 und 20V8 ersetzen Stadard-Logikbausteine, ideal für Entwicklung und Kleinserien Elektronik Entwicklung logischer Schaltungen mit GALs Die GALs 16V8 und 20V8 ersetzen Stadard-Logikbausteine, ideal für Entwicklung und Kleinserien Franz Fiala Aufbauend auf Kenntnisse über grundlegende

Mehr

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen.

Aufgabe 1: Diskutieren Sie die Unterschiede bzw. die Vorteile und Nachteile der Mealy- und Moore- Zustandsmaschinen. Üungen zur Vorlesung Technische Informtik I, SS 2 Strey / Guenkov-Luy / Prger Üungsltt 3 Asynchrone Schltungen / Technologische Grundlgen / Progrmmierre Logische Busteine Aufge : Diskutieren Sie die Unterschiede

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten

Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten Analyse von Ansätzen zur Beschleunigung von SAT - Lösern durch dedizierte Hardware Komponenten E. Zenker 9. November 2011 1 / 28 Gliederung 1. Field Programmable Gate Array - FPGA 2. Satisfiability Testing

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. Mai 2014 1/50 1 Boolesche Funktionen

Mehr

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72

Ergänzung: RAM und ROM. SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Ergänzung: RAM und ROM SS 2012 Grundlagen der Rechnerarchitektur Speicher 72 Speichern eines Bits versus viele MB Wir wissen wie wir einzelne Bits speichern können (Erinnerung: Latches, Flip Flops) Mehrere

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mi 9:15 10:45 (Block 1) Ort: INF 205, SR9 Dozent: Prof. Dr. P. Fischer, B6,

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr