Verlustleistungsreduzierung in Datenpfaden

Größe: px
Ab Seite anzeigen:

Download "Verlustleistungsreduzierung in Datenpfaden"

Transkript

1 Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann

2 Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken Ergebnisse Zusammenfassung

3 Motivation zur Verlustleistungsreduktion Portable Geräte Standgeräte Längere Laufzeit Kleine Batterien geringere Größe und Gewicht Steigende Funktionsvielfalt Weniger Verlustleistung bedeutet weniger Abwärme weniger Kühlung, leiser, erhöhte Haltbarkeit Leistungsfähigkeit nicht von Temperaturbeständigkeit abhängig

4 Analyse der Verlustleistung (1) Verbrauch in Geräten Peripherie Datenverarbeitung Laufwerke Anzeige Stromversorgung Programmierbare Mikroprozessoren & DSPs ASICs Speicher Batterie DC-DC Konverter Modem Kommunikation Funksender, -empfänger

5 Analyse der Verlustleistung (2) Verteilung der Verlustleistung bei einem Computer: CPU / Speicher 21% Anderes 7% Anzeige 36% z.b. Anteile am gesamten Leistungsverbrauch eines PDA: Prozessor (StrongARM1100) 42-50% - Proz. 95% idle: 206 MHz - MPEG-1 mit Audio: 206 MHz LCD: 15% vom Gesamtverbrauch (30-40% in Notebooks) Festplatte 18% Funknetzwerk 18% Hoher Energiebedarf bei der Datenverarbeitung

6 Analyse der Verlustleistung (3) Verteilung der Verlustleistung in einer CPU: Datenpfad Takt Speicher Control, IO Resultierende Ansatzpunkte zur Reduktion der Verlustleistung: Takt Datenpfad ABER: höchste Leistungsfähigkeit soll erhalten bleiben

7 Schaltungstechnik Aufbau aus CMOS (Complementary Metal-Oxid Semiconductor) Transistoren: Wirken wie Schalter: N-Transistor schaltet bei HIGH am Eingang, P-Transistor schaltet bei LOW am Eingang Bei gleicher Größe, N-Transistor ca. doppelt so schnell wie P-Transistor Inverter, Aufbau: Inverter, Funktion: Eingang = LOW Eingang = HIGH Eingang Ausgang LOW HIGH HIGH LOW

8 Zusammenhänge auf Transistorebene Inverter - stark vereinfachte parasitäre Kapazitäten: 2C Knoten 2C C C 2C 2C C C C Kapazität Trans.größe

9 Zusammenhänge auf Transistorebene Inverter - stark vereinfachte parasitäre Kapazitäten: 2C R Knoten 2C C Geschwindigkeit wird durch den Lade-/Entladevorgang der Lastkapazität über den Widerstand R eines Transistors bestimmt: t R. C L C C L 2C C C Kapazität Trans.größe 2C C Transistorengröße C ; 1/R Größere Transistoren kleinerer Widerstand größere Eingangskapazität Folgende Eingänge stellen die Last des Ausgangs dar Verschiebung des Problems zum Eingang

10 Verlustleistung Ursache: Ladung / Entladung der parasitären Kapazitäten Verlustleistung (stark vereinfacht): P = a. C. L f. Clk V 2 DD Ansatzpunkte: 3. Aktivität 1. Spannung 2. Kapazität 4. Taktfrequenz Zu beachten: Geschwindigkeit sinkt mit kleineren Spannungen!

11 Dynamische Logik Aufladen Eingänge n-block Aufladen Ausgang Berechnen Takt Ausgang Eingänge Aufladen Berechnen Aufladen des Ausgangs

12 Dynamische Logik Berechnen Eingänge n-block Aufladen Ausgang Berechnen Takt Ausgang Eingänge Aufladen Berechnen Aufladen des Ausgangs Entladung abhängig von den Eingängen

13 Dynamische vs. Statische Logik Grober Vergleich eines Inverters: statisch dynamisch Ausgang Eingang Ausgang Eingang zusätzlich Logische Funktion Reduzierung der Anzahl der Logik-Transistoren auf 1/2 Reduzierung der Kapazität auf 1/3 Reduzierung der Kapazität Erhöhung der Geschwindigkeit Pro Gatter zwei zusätzliche Transistoren, jedes Gatter verursacht Taktlast Dynamischer Knoten teilweise ohne Verbindung zu V DD oder Masse

14 Dynamische Logiken - Probleme Kaskadierung schwierig z.b. DOMINO-Logik Keine invertierenden Funktionen, daher häufig Anwendung als Dual-Rail: - Für jede Funktion existieren zwei Gatter: eines erzeugt das nichtnegierte, das zweite Gatter das negierte Ausgangssignal Verlustleistung hängt nicht mehr vom Wechsel der Eingangssignale ab, sondern vom Zustand der Eingänge Jedes kombinatorische Gatter benötigt ein Taktsignal

15 Anwendung Self-timed Schema Verschiedene logische Funktionen Mindestens eine logische Funktion schaltet immer und lädt den entsprechenden Ausgang um In In Out 1 n-block Out 2 n-block Takt Out 1 Out 2 In Aufladen Berechnen

16 Anwendung Self-timed Schema Verschiedene logische Funktionen Mindestens eine logische Funktion schaltet immer und lädt den entsprechenden Ausgang um In In Out 1 n-block Out 2 n-block Takt Out 1 Out 2 In Aufladen Berechnen

17 Asynchronous Chain (AC) TSPC* Clock Clock Clock Clock Clock Global Clock Slave Dual-rail dyn. logic... Slave Dual-rail dyn. logic Slave Dual-rail dyn. logic Master 1 Dual-rail dyn. logic Master 2 Dual-rail dyn. logic Master 3 Dual-rail dyn. logic Extern synchroner Block Eingangs- und Ausgangssignale Globaler Takt Realisiert Registerfunktion: keine zusätzlichen Latches Anwendung nur in Datenpfaden (geringe Laufzeitunterschiede) *True Single Phase Clock

18 Vergleich (Tool, nicht optimiert) Dynamisch: Stat. CMOS TSPC DOMINO AC-TSPC ~500MHz (ns) 23,4 31,2 11,7 7,8 Power ohne gl. Takt (mw/ghz) Taktlast (Minimum Trans.) ~576 ~2205 ~2034 ~370 Power*Delay (10-9 Ws) 3,322 9,610 4,116 5,460 Ergebnisse von 4-bit Multiplizierern; approximiert für eine Taktperiode von 1,95ns SCMOS: Aktivität ca. 20%; 96 Register; DOMINO: 48 Register

19 Power-Delay-Produkt Für aussagekräftige Vergleiche muss die Zeit in die Metrik mit einbezogen werden. Niedriges Power-Delay-Produkt: Niedriger Energieverbrauch! Leistung Gleiche Energie (Gebiet unter den Kurven) dynamische Logik statische Logik Zeit

20 Vergleich (Tool, nicht optimiert) Dynamisch: Stat. CMOS TSPC DOMINO AC-TSPC ~500MHz (ns) 23,4 31,2 11,7 7,8 Power ohne gl. Takt (mw/ghz) Taktlast (Minimum Trans.) ~576 ~2205 ~2034 ~370 Power*Delay o. Takt (10-9 Ws) 3,322 9,610 4,116 5,460 P*D mit Takt (geschätzt, 10-6 Ws) Ergebnisse von 4-bit Multiplizierern; approximiert für eine Taktperiode von 1,95ns SCMOS: Aktivität ca. 20%; 96 Register; DOMINO: 48 Register

21 Zusammenfassung Hohe Verlustleistung durch Takt und Datenpfad Ursache: parasitäre Eingangskapazitäten Reduzierung der geschalteten Kapazitäten durch dynamische Schaltungstechniken höchste Geschwindigkeit und reduzierter Energieverbrauch ABER: neue Probleme bei der Umsetzung, jedoch lösbar Ergebnisse: Optimierung des Power-Delay-Produktes durch Latch-freie Struktur (Verringerung der Verzögerung, da keine Register) Erhebliche Reduzierung des Leistungsverbrauches durch dynamische Single- Rail Logiken möglich, aufgrund reduzierter Eingangskapazitäten

Asynchronous Chain True Single Phase Clock Logik (AC TSPC)

Asynchronous Chain True Single Phase Clock Logik (AC TSPC) Asynchronous Chain True Single Phase Clock Logik (AC TSPC) F. Grassert, A. Wassatsch, D. Timmermann Übersicht Grundlagen / Stand der Entwicklungen Verlustleistungsreduktion: Latch-freie Strukturen Weiterentwicklung:

Mehr

Design flow zur Entwicklung Geschwindigkeits- und Leistungsoptimierter Schaltungen

Design flow zur Entwicklung Geschwindigkeits- und Leistungsoptimierter Schaltungen Design flow zur Entwicklung Geschwindigkeits- und Leistungsoptimierter Schaltungen Frank Sill, Frank Grassert, Dirk Timmermann Universität Rostock Institut für Angewandte Mikroelektronik und Datentechnik

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, 18.05.2017 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

6 Integrierte digitale Logikbausteine

6 Integrierte digitale Logikbausteine 6 Integrierte digitale Logikbausteine 6.1 Kennwerte der Integrationsdichte Die Komplexität einer Integrierten Schaltung (IC) wird außer mit der Transistoranzahl auch mit der Anzahl der logischen Gatter

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 24.01.2014

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 01.07.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik ELEKTRONIKPRAKTIKUM DIGITALTEIL Institut für Kernphysik Version 2018 1 2 Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Aufgabenstellung 1. Bestimmung einer Übertragungskennlinie und

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

Asynchronous Chain True Single Phase Clock Logik (AC-TSPC)

Asynchronous Chain True Single Phase Clock Logik (AC-TSPC) Asynchronous Chain True Single Phase Clock (AC-TSPC) Frank Grassert und Dirk Timmermann Institut für Angewandte Mikroelektronik und Datentechnik Fachbereich Elektrotechnik und Informationstechnik Universität

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Grundlagen der VLSI-Technik

Grundlagen der VLSI-Technik Grundlagen der VLSI-Technik VLSI-Systeme I Prof. Dr. Dirk Timmermann Institut für Angewandte Mikroelektronik und Datentechnik Fakultät für Informatik und Elektrotechnik Universität Rostock Vorteile der

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus Digitales Design 2. Juni 2015 Die Arbeitszeit beträgt 1,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben

Mehr

Vortrag der Diplomarbeit

Vortrag der Diplomarbeit Vortrag der Diplomarbeit Entwicklung eines Continuous-Time Delta- Sigma Modulators für den Einsatz in der Positronen-Emissions-Tomographie von 07.09.2009 Überblick und Gliedergung: Teil 1: CT ΔΣ Modulator

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs: Technologische Grundlagen programmierbare logische Bausteine 1 Halbleiterdiode Bauelement, durch

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

LOGIK. Jinlong cai Referat in Projektlabor

LOGIK. Jinlong cai Referat in Projektlabor LOGIK 1 Logik Gliederung Wozu Logik? Boolesche Algebra Realisierung von der Logiksfunktion ICs Aufbauen 2 Logik Wozu Logik? Boolesche Algebra Realisierung von der Logiksfunktion ICs Aufbauen 3 Wozu logik?

Mehr

Delta-Spezifikation SPC3LV (Mit Referenz auf SPC3)

Delta-Spezifikation SPC3LV (Mit Referenz auf SPC3) (Mit Referenz auf SPC3) 1 Allgemeines Das vorliegende Datenblatt des SPC3LV zeigt die Unterschiede (Deltas) des neuen SPC3LV zu dem bisherigen SPC3 (Hersteller: AMIS). 2 Erläuterungen: SPC3 = Siemens Profibus

Mehr

Teil IV Latches und Register. dynamisch, statisch

Teil IV Latches und Register. dynamisch, statisch Teil IV Latches und Register dynamisch, statisch 1 Zusammenfassung letzte VL: Definition der verschiedenen Grenzfrequenzen f un, f T, f max Definition der Anstiegs-, Abfall- und Verzögerungszeiten Vorteile

Mehr

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil

MEphisto Scope 1 A D. Prozessor-Steuerung. Interner Daten-/Steuer-Bus. Digital I/O-Teil Funktionsschaltbild MEphisto Scope 1 Kanal A A D * Werte- Speicher Kanal B A D (256 ks) Prozessor-Steuerung 26polige Sub-D Buchse Ext. Trigger 24 bits Digital I/O-Teil Interner Daten-/Steuer-Bus MEphisto

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters Cswitch Seminar Von Andreas Peters 1 Gliederung Einführung Daten / Anwendungsgebiete Aufbau: Kommunikationsstruktur Vier Tiles Sonstige Features Kleine Zusammenfassung 2 Einführung Was ist Cswitch? 3 Exceeding

Mehr

Beispiele von Branch Delay Slot Schedules

Beispiele von Branch Delay Slot Schedules Beispiele von Branch Delay Slot Schedules Bildquelle: David A. Patterson und John L. Hennessy, Computer Organization and Design, Fourth Edition, 2012 Grundlagen der Rechnerarchitektur Prozessor 97 Weniger

Mehr

Page 1 of 13 Fenster schließen Digitaltechnik 1. Einige Grundlagen 1.1 Signalpegel 1.2 Logische Schaltglieder 1.2.1 UND / AND - Gatter 1.2.2 ODER / OR - Gatter 1.2.3 NICHT / NOT - Gatter 1.2.4 NICHT-UND

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Adressierung von Speichern und Eingabe- Ausgabegeräten

Adressierung von Speichern und Eingabe- Ausgabegeräten Adressierung von Speichern und Eingabe- Ausgabegeräten Adressdecodierung Die Busstruktur von Prozessorsystemen verbindet die Bauteile über gemeinsame Leitungen. Auf dem Bus darf zu einer Zeit immer nur

Mehr

Teil VII Einfache Logikgatter. Dynamische Logik, Reduktion der Verlustleistung

Teil VII Einfache Logikgatter. Dynamische Logik, Reduktion der Verlustleistung Teil VII Einfache Logikgatter Dynamische Logik, Reduktion der Verlustleistung 1 Zusammenfassung letzte VL: Statische Logik CMOS-Logik Gleichstromverhalten (Aufbau der Gatter, Dimensionierung, ) Gatterlaufzeit

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 26.07.2012 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 2 3 4 5 6 Punkte 15 12 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Programmierung Input PLD Programmable Logic Device Output Liers - PEG-Vorlesung WS2000/2001 - Institut für Informatik - FU Berlin 1 /X X Grundgedanke Input Matrix Logikverknüpfung

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe

Beschaltung eines Mikrocontrollers. Jordi Blanch Sierra Steuerungsgruppe Beschaltung eines Mikrocontrollers Jordi Blanch Sierra Steuerungsgruppe Gliederung Was ist ein Mikrocontroller? ATmega32 Pin-Beschreibung Grundschaltungen: - Minimale Grundschaltung - Grundschaltung mit

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166 1 Der kleine Unterschied... analoge und digitale Signale 13 2 Ein paar technische Grundlagen sind für die Digitaltechnik wichtig 20 2.1 Das ohmsche Gesetz und was dahintersteckt 20 A Strom, Spannung, Leistung

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Powersaving in todays CPUs

Powersaving in todays CPUs Powersaving in todays CPUs Daniel Bayer Seminar Lehrstuhl Rechnerarchitektur Universität Mannheim 29.11.2005 Outline Motivation 1 Motivation 2 3 4 5 Powersaving in todays CPUs 2 / 38 Motivation Historische

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Technische Informatik

Technische Informatik Springer-Lehrbuch Technische Informatik Übungsbuch zur Technischen Informatik 1 und 2 Bearbeitet von Wolfram Schiffmann, Robert Schmitz, Jürgen Weiland Neuausgabe 2004. Taschenbuch. x, 279 S. Paperback

Mehr

Universal-Experimenter IV

Universal-Experimenter IV 9 Widerstände 1/10/47/100/470 Ω 1/10/47/100kΩ/1 MΩ Bestell-Nr. W5101-4B 9 Widerstände 4 x 4,7 kω 5 x 10 kω Bestell-Nr. W5101-4C 2 R-2R Netzwerk für 8 Bit Bestell-Nr. W5101-4D 3 Potentiometer 1/10/100kΩ

Mehr

Modul 6 Name: Berufsbildende Schule Technik Carl-Benz-Schule Klasse: Datum: Seite 1/5

Modul 6 Name: Berufsbildende Schule Technik Carl-Benz-Schule Klasse: Datum: Seite 1/5 Klasse: Datum: Seite 1/5 A/D-Wandler (A/D-Umsetzer) Einführung Was macht ein A/D-Wandler? Er wandelt eine Spannung in eine dazu proportionale Zahl um. Beispiel mit 3 Bit, 0-8V. Der Bereich um 3V (2.5V

Mehr

Im Bereich der Entwicklung und Herstellung von Prozessoren spielen

Im Bereich der Entwicklung und Herstellung von Prozessoren spielen Prozessor (CPU) Allgemeines, Begriffe, Entwicklung Der Prozessor ist heutzutage das Herzstück fast eines jeden elektronischen Geräts. Er ist ein hochkomplexer Chip, der mit feinsten Halbleiterstrukturen

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Technische Daten 16-Bit RISC Architektur bis zu 16 Mhz Vcc: 1,8-3,6V 64 KB FRAM 2 KB SRAM 7 Schlafmodi 5 16-Bit

Mehr

Ein- / Ausgabe- Ports

Ein- / Ausgabe- Ports Ein- / Ausgabe- Ports Alle vier parallelen Ports sind bidirektional und bestehen aus einem 8-Bit-Special-Function- Register für die Ausgabedaten, einem Ausgangstreiber und einem Eingabepuffer. Jeder Port-

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Reset. Teil D4: Reset, Takt, zeitabhängige Signale. Reset. Resetschaltung. 06 / Teil D4 / Seite 01. Aufgabe:

Reset. Teil D4: Reset, Takt, zeitabhängige Signale. Reset. Resetschaltung. 06 / Teil D4 / Seite 01. Aufgabe: & & Teil D4:, Takt, zeitabhängige Signale in der Digitaltechnik Takt und I 555 6.2.16 Aufgabe: beim Systemstart: deaktivieren aller Systemkomponenten bis Versorgungsspannung stabil ist; beim Systemstart:

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von:

Herzlich Willkommen. Heutiges Thema: Switched Capacitor Circuits. Von: Hartmut Sturm. Seminar im SS_05 veranstaltet von: Herzlich Willkommen Heutiges Thema: Von: Betreut von: Dr. Ivan Peric Seminar im SS_05 veranstaltet von: Fakultät für Mathematik und Informatik der Uni Mannheim Institut für Technische Informatik Lehrstuhl

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Synthesis for Low Power Design

Synthesis for Low Power Design Synthesis for Low Power Design Prof. Thomas Troxler Hochschule Rapperswil Abstract Power optimization at high levels of abstraction has a significant impact on reduction of power in the final gate-level

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung

Überblick über Quadratur-Generation. Roland Pfeiffer 15. Vorlesung Überblick über uadratur-generation Oszillator A Oszillator B Roland Pfeiffer 5. Vorlesung Design einer uadratur-generation Ihr Chef stellt Ihnen die Aufgabe, ein Signal in zwei um 90 phasenversetzte Signale

Mehr

8. Realisierung von Schaltnetzen mit Gattern

8. Realisierung von Schaltnetzen mit Gattern 8. Realisierung von Schaltnetzen mit Gattern Im Folgenden soll ein kurzer qualitativer Einblick in die physikalische Arbeitsweise von Gattern gegeben werden. Dabei wird dann auch der Sinn des Begriffes

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Großintegrationstechnik

Großintegrationstechnik Großintegrationstechnik TeiM: Vom Transistor zur Grundschaltung von Prof. Dr.-Ing. Karl Goser Hüthig Buch Verlag Heidelberg INHALTSVERZEICHNIS u:? -- t 0. Einführung l 0.1 Die Mikroelektronik als Basisinnovation

Mehr

Rechnerarchitektur WS 2003/2004. Klaus Waldschmidt. Literatur. Vorlesungsbegleitende Unterlagen

Rechnerarchitektur WS 2003/2004. Klaus Waldschmidt. Literatur. Vorlesungsbegleitende Unterlagen Rechnerarchitektur Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Seite 1 Literatur Rechnerarchitekturen Christian Märtin Fachbuchverlag Leipzig im Carl Hanser Verlag, 2001 Rechnerarchitektur

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Kurzbeschreibung Unipolar-Schrittmotor-Ansteuerung SAMOtronic-uni

Kurzbeschreibung Unipolar-Schrittmotor-Ansteuerung SAMOtronic-uni Kurzbeschreibung nipolar-schrittmotor-ansteuerung SAMOtronic-uni 1. Übersicht 55 36 10 7 +10VDC...+4VDC Frequenz 3, 5 6 CLOCK DIS 5 4 3 1 CLOCK CW / CCW FS / HS DIVE INH 3. GND SW3 SW Treiber abgeschalten

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

1.6 Mikroelektronik - Speichernde Schaltungen RAM

1.6 Mikroelektronik - Speichernde Schaltungen RAM Schaltnetze alle zuvor skizzierten Schaltungen Kombinatorische Logik aus Gattern Boole sche Algebra / Schaltalgebra Realisiert Funktionen: f (X) = Y beliebig kompliziert damit kann aber noch nicht gerechnet

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

AC-DC Transfer Normale für kleine Stromstärken

AC-DC Transfer Normale für kleine Stromstärken Physikalisch-Technische Bundesanstalt Braunschweig und Berlin Nationales Metrologieinstitut AC-DC Transfer Normale für kleine Stromstärken Torsten Funck Arbeitsgruppe 2.13 Wechselstrom-Gleichstrom Transfer,

Mehr

Übung Integrierte Schaltungen 7. Übung: Latch und Register, Logikgatter

Übung Integrierte Schaltungen 7. Übung: Latch und Register, Logikgatter Übung Integrierte Schaltungen 7. Übung: Latch und Register, Logikgatter Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 24.01.2014 14.02.2014 Klausurvorbereitungsstunde:

Mehr

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Vortrag zum großen Beleg Andrej Olunczek Andrej.Olunczek@mailbox.tu-dresden.de

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr

Energieeffiziente adiabatische Multiplizierer

Energieeffiziente adiabatische Multiplizierer Energieeffiziente adiabatische Multiplizierer Etienne Kleine FSU Jena 14. Dezember 2009 1 / 28 Zu Beginn : ein Vergleich CMOS adiabatisches vorgestelltes MAC MAC Design Gesamtverluste (pj) 17,6 1,57 0,73

Mehr

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (2) Architektur des Haswell- Prozessors (aus c t) Einführung

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Bild 1: Grundlegende Verbindungen des SPI-Interfaces

Bild 1: Grundlegende Verbindungen des SPI-Interfaces Das SPI-Interface Die SPI-Schnittstelle wird am häufigsten für synchrone Datenübertragung benutzt, weil sie verhältnismäßig hohe Übertragungsraten mit vielseitigen Konfigurationen ermöglicht. Die Schnittstelle

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 3 - Diskrete Transistoren Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Organisatorisches Wie funktioniert ein MOSFET? Was

Mehr

Logikausgang Grundschaltungen in CMOS-Technik

Logikausgang Grundschaltungen in CMOS-Technik Logikausgang Grundschaltungen in CMOS-Technik X Liers - PEG-Vorlesung WS00/0 - Institut für Informatik - FU Berlin 49 Logikausgang Grundschaltungen CS INV in CMOS-Technik (Tristate) Transistor leitet X

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 5. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Feldeffekttransistoren (FET) Logikschaltungen in CMOS-Technologie

Mehr

OFET für Electrophoretic Displays

OFET für Electrophoretic Displays für Electrophoretic Displays Quelle: J. of Displ. Techn. 3 (27) 57 Page 1 für AMOLED Quelle: Plastic Electronics Conf. 21 Page 2 Sony Mai 21: Rollable OTFT driven OLED display : L=5µm µ=.4cm 2 /Vs I on

Mehr