Grundstruktur von Schaltwerken

Größe: px
Ab Seite anzeigen:

Download "Grundstruktur von Schaltwerken"

Transkript

1 Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann abhängig von der Vorgeschichte jeweils anders reagieren. Grundstruktur Takt Eingänge E1 Schalt- Netz A1 Speicher (Flipflops) Ausgänge Z1 Der Eingangsvektor wird wie gewohnt auf ein Schaltnetz gegeben, dieses berechnet einen Ausgangsvektor, dem jetzt ein Speicher (Flipflops) nachgeschaltet ist. Je nach Ausgangsvektor A1 wechselt der Speicher bei einem Taktsignal seinen Zustand. Durch die Rückführung der Leitungen Z1 (Rückkopplung), wird also zur Berechnung des nächsten Zustandes (Z t+1) die nformation des jetzigen Zustandes ( Z t ) vor dem nächsten Takt benutzt. Beschreibung eines Automaten Zustandsname Zi Ausgangsvektor Ei Eingangsvektor Graphische Darstellung: Ein Zustand des Speichers wird als Kreis dargestellt, der einen bestimmten Namen bekommt ( oft einfach eine Zahl). Ein Speicher mit n-flipfllops (Bit) besitzt 2 n Zustände.

2 Digitaltechnik Teil1.2 Moore-Automat Die Namensgebung erfolgt in der Regel binär kann aber auch in anderen Zahlensystemen z.b. Hexadezimal oder auch mit echten Namen erfolgen. Vom Zustand weg zeigen Pfeile, mit denen bei einem bestimmten Eingangsvektor Ei der Zustand gewechselt wird. Häufig gibt es auch Pfeile die wieder auf demselben Zustand landen. Dieser Pfeil gibt die Eingangsbedingungen an, für die der Automat in diesem Zustand verharrt. Um einen vollständigen Moore-Automaten zu bekommen, kann der Eingänge Takt E1 Schalt- Netz A1 Speicher (Flipflops) Z1 Schalt- Netz Z1 Ausgangsvektor Z durch eine weiteres Schaltnetz nach Bedarf der Aufgabenstellung codiert werden. Dadurch ergibt sich folgendes Blockschaltbild des Moore-Automaten. Schaltnetz und können auch zu einem großen Schaltnetz zusammengefaßt werden,da ja an Schaltnetz schon die Leitungen Z1 anliegen, das Blockschaltbild wird dadurch vereinfacht. Eingänge Takt E1 Schalt- Netz + A1 Speicher (Flipflops) Z1 Z1 Ausgänge

3 Digitaltechnik Teil1.3 Zustandstafel Um die Funktion des Automaten darzustellen ist der Graph die übersichtlichste Methode und für den Entwurf sehr brauchbar. m Graphen werden aber meist nicht alle möglichen Übergangspfeile berücksichtigt. Um eine vollständige Beschreibung des Automaten zu erreichen wird die Zustandstafel benötigt. hr Aufbau ist folgender: E t Z t Ei n der Spalte Zt werden alle Mögliche Zustände, die der Speicher einehmen kann aufgelistet. n der Zeile Et werden alle möglichen Eingangsvektoren Ei eingetragen. n die Tabelle werden nun die Zustandsnummern eingetragen, die sich beim jeweiligen Eingangsvektor als Folgezustand Z t+1 ergeben. Mathematische Beschreibung Beim Ausfüllen ist sichergestellt, daß alle möglichen Kombinationen abgefragt werden. Das Verhalten des Automaten kann nun auch mathematisch als Rekursion beschrieben werden. Z1 t+1 = (E1, Z1 t ) wobei ω die Schaltfunktion von Netwerk darstellt. Der Ausgang Z1 ist dann: Z1 = (Z1 t+1 ) Z1 = (Z1 t ) und wird nach dem Taktsignal zu: wobei δ die Schaltfunktion von Netwerk darstellt.

4 Digitaltechnik Teil 2.1 THEMA Beschreibung Aufgabenstellung Problematik der Zustandscodierung Anhand der Entwicklung eines Frequenzteilers durch zwei verschiedene Entwickler, wird der Einfluß der Zustandscodierung auf das Ergebnis eines Entwurfs deutlich.. Es werde ein Zähler benötigt, der in Abhängigkeit von einem binären Steuersignal S die mpulse auf der X - Leitung wahlweise modulo-6 bzw. modulo-5 zählt. Dabei gelte die Zuordnung: S=0 modulo 6 S=1 modulo 5 Der Ausgang Y liefert alle 5 / 6 mpulse auf der X-Leitung 1 Ausgangsimpuls, also ein Signal mit durch 5 / 6 geteilter Frequenz des Eingangsignals X. Blockschaltbild X S modulo 6/5 Zähler Y Zur Realisierung stehen nur D-Flipflops zur Verfügung. Anwendung Anwendung des Zählers ist eine netzsynchronisierte Digitaluhr für 60Hz und 50 Hz Netze. Für die Massenproduktion, soll dieser Zähler von zwei unabhängigen Entwicklern A und B möglichst kostenminimal, bezüglich der Zahl von Bauelementen, entworfen werden. Aufgabe 1 Wieviele Speicherelemente (D-Flipflops) werden minimal zur Realisierung benötigt? Begründung!, Aufgabe 2 Entwickler A geht von dem folgenden codierten Zustandsgraphen aus, er hat einfach die benötigten Zustände binär von 0 bis 5 durchgezählt.

5 Digitaltechnik Teil 2.2 Tragen Sie an den Übergangspfeilen den zugehörigen Wert des Steuersignals S ein. Zustandsgraph Aufgabe 3 Erstellen Sie die Automatentafel. Z t

6 Digitaltechnik Teil 2.3 Aufgabe 4 Minimieren Sie die Ansteuerfunktionen unter Verwendung von KV-Diagrammen. l KV- Diagramme Ansteuerfunktionen D 1 = D 2 = Aufgabe 5 D 3 = Zeichnen Sie das Blockschaltbild des Zählers. Welcher Ausgang entspricht der Funktion Y?

7 Digitaltechnik Teil 2.4 Schaltbild Entwickler B Entwickler B hat ein Schaltwerk entworfen, das die gleiche Aufgabe mit geringerem Bauteileaufwand realisiert. Die Struktur des Zustandgraphen ist erhalten geblieben, jedoch wurde die Codierung der Zustände geändert. Dadurch ergab sich das folgende Schaltwerk. S >1 & D1 Q1 D2 Q2 /Q2 D3 Q3=Y /Q3 X Aufgabe 6 Ermitteln Sie, ausgehend vom Zustand Q1=Q2=Q3=0, die Folgezustände für S=0 und S=1 Bilden Sie zunächst logische Ausdrücke für D1,D2 und D3. Stellen Sie dann die Automatentafel auf. Zeichnen Sie den Graphen.

8 Digitaltechnik Teil 3.1 ASC THEMA Realisierung von logischen Schaltungen Zur Realisierung von Schaltnetzen und Schaltwerken können entweder einzelne Logikbausteine verschaltet werden oder wir verwenden Anwender Spezifische ntegrierte Circuits (ASC). Das heißt im extrem Fall wird für die benötigt Logik eine eigenes C hergestellt. Da die Maskenherstellung für ein C jedoch sehr teuer ist (20-100kDM) lohnt sich dieser Aufwand nur bei sehr hohen Stückszahlen. Für kleinere Stückzahlen bietet sich die programmierbare Logik an. Hier sind eine bestimmte Anzahl von Gattern und Funktionsblöcken in einem C untergebracht. Die Verbindungen der einzelnen Zellen kann durch den Kunden programmiert werden. Logik echter ASC teilprogram. Schaltung program.. Matrix Standard Logikfam. Gate Array PAl, GAL FPLA PROM TTL HCMOS ECL GAL s Wir wollen hier die Generic Array Logic (GAL) Bausteine kennenlernen, die einen der kleinsten Vertreter dieser programmierbaren Logikbausteine darstellen, durch ihre Flexibilität aber große Verbreitung gefunden haben. Bei GAL-Bausteinen werden die Verbindungen in einer EEPROM Matrix gespeichert, welche mal neuprogrammiert werden kann, was für die Entwicklung erhebliche Vorteile hat.

9 Digitaltechnik Teil 3.2 Struktur von GAL s Die Anschlußbelegung der GAL-Typen 16V8 und 20V8 ist von der Programmierung abhängig, da die Funktion einzelner Pins von der gewählten Betriebsart abhängt: 1. Kombinatorische Betriebsart 2. Regiserbetriebsart (d. h. die Ausgänge werden über Flipflops zwischengespeichert, dann wird auch Pin 1 als Clk benötigt. Fest bestimmt sind nur die Pins für die Versorgungsspannung GND und Vcc. CLK ist der Takteingang, falls man die eingebauten Register (D-Flipflops) benutzt. OE ist der Output Enable-Eingang der die Ausgangspins in TR-STATE schalten kann. bezeichnet einen möglichen Eingangspin. O einen möglichen Ausgangspin (kombinatorisch). Q einen möglichen Register-Ausgangspin. /CLK GND GAL 16V Vcc /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q /OE /CLK GAL 20V Vcc /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q /O/Q GND /OE Die GAL Typen 16V8 und 20 V8 unterscheiden sich lediglich in der Zahl der möglichen Eingänge. Beide besitzen 8 Ausgangs-Zellen.

10 Digitaltechnik Teil 3.3 Struktur Um die Funktion und die Einsatzmöglichkeiten dieser programmierbaren Bausteine zu erfassen ist hier die innere Struktur des Bausteins abgebildet. a b 1 & a*b*d a bcd & d Bei der Darstellung ist folgendes zu beachten: innere Struktur GAL 16 V8

11 Digitaltechnik Teil 3.4 Makrozellen Die Konfigurierung der OLMC s (Output Makrozellen) bestimmt nun die Betriebsart des Bausteins. 1. Die Ausgangszelle kann so geschaltet werden, daß sie komplett weggeschaltet ist, der PN dient also als Eingang. 2. Das Flipflop der OLMC kann überbrückt werden, d. h. der Ausgang ist rein kombinatorisch. 3. Wie 2., aber der Ausgang hat Tri-State über OE. 4. Die OLMC wird als Flipflop-Ausgang mit Tri-State genutzt. Dies wird über die Konfiguration der verschiedenen programmierbaren Multiplexer (MUX) erreicht. Bausteinauswahl Die Auswahl eines geeigneten Bausteines zur Verwirklichung einer bestimmten Aufgabe wird durch die Anzahl der benötigten PN`s, Flipflops, Logischen Verknüpfungen, die benötigte Geschwindigkeit usw. bestimmt. Moderne Software liefert hier nach der Definiton der Aufgabe eine Auswahl an geeigneten Bausteinen und unterstützen auch die Partitionierung einer Aufgabe auf mehrer Bausteine.

12 Digitaltechnik Teil 3.5 PLD Entwurf Mit einem GAL-Baustein können wir Logische Schaltungen realisieren, die eine bestimmte Komplexität nicht überschreiten. Die benutzten UND-Terme dürfen maximal 8 Eingänge haben (Minterme) auch können maximal 8 UND-Terme durch ODER verknüpft werden (Maximal 8 Produktterme). Der Entwurf der Schaltung geschieht heute auschließlich computergestützt mit einer geeigneten CAD Software. Meist wird auch die Minimierung der Logik von der Software durch verschiedene Allgorithmen übernommen. Das Ergebnis ist der sogenannte JEDEC-File, in dem die nformation enthalten ist, wie der programmiere Baustein intern verdrahtet wird. Diese nformation wird dann dem Baustein mit Hilfe eines speziellen Programmiergerätes übergeben. Die nformation wird bei GAL s normalerweise in einem EEPROM gespeichert, kann aber auch bei bestimmten programmierbaren Bausteinen in EPROM s oder RAM s gespeichert werden. Eingabe Entwurf Baustein Logische Funktion Eingabe File Prüfung Minimierung Anpassung Ausnutzung Simulation JEDEC File Programmier - Gerät Wahrheitstafel Zustandsdiagramm Funktionsprüfung Schaltplan

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Kombinatorische Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Kombinatorische Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Überblick Analog- und Digitaltechnik Boolesche Algebra Schaltfunktionen Gatter Normalformen

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen.

Wir benutzen im nachfolgenden Versuch ein PLA zur Implementierung zweier boolscher Funktionen. Dazu einige Vorüberlegungen. Kapitel 3 Programmable Logic Array (PLA) Die Idee eines PLA ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird dann durch Konfiguration

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

A.1 Schaltfunktionen und Schaltnetze

A.1 Schaltfunktionen und Schaltnetze Schaltfunktionen und Schaltnetze A. Schaltfunktionen und Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Bedeutung des Binärsystems für den Rechneraufbau Seit Beginn der Entwicklung von Computerhardware

Mehr

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut.

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut. EloTrain - Stecksystem Stecksysteme sind robuste, modulare Elektrotechnik-Baukastensysteme. Steckbausteine mit elektronischen Bauelementen werden auf Basisplatten zu Schaltungen zusammengesetzt und ermöglichen

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 4 Technologische

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm 2. Übung: Flipflops und Automaten 1. Aufgabe: Steuerungslogik für Kaffeeautomat Erstellen Sie eine Steuerungslogik für einen einfachen Kaffeautomaten mithilfe eines Mealy-Automats. Ein Becher Kaffee kostet

Mehr

PALs, CPLDs und FPGAs

PALs, CPLDs und FPGAs PALs, CPLDs und FPGAs P. Fischer, ziti, Uni Heidelberg, Seite 1 Bezeichnungen Sehr ähnliche Bauelemente werden oft unterschiedlich bezeichnet, z.t. nur aus Marketing-Gründen PLD = Programmable Logic Devices

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Sequenzielle Schaltwerke

Sequenzielle Schaltwerke Informationstechnisches Gymnasium Leutkirch Sequenzielle Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen 6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen Ziele dieses Kapitels sind: Lernen wie digitale Speicherelemente aus elementaren Logikgattern aufgebaut werden Unterschiede zwischen asynchronen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll. Jan Nabbefeld erstellt: 5. Juli 2002

Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll. Jan Nabbefeld erstellt: 5. Juli 2002 Computergestützter Schaltungs- und Leiterplattenentwurf Protokoll André Grüneberg Jan Nabbefeld erstellt: 5. Juli 2002 1 Schaltplaneingabe und Schaltungsimulation 1.1 NAND-Gatter Aufgabe war es, NAND-Gatter

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Synthesis for Low Power Design

Synthesis for Low Power Design Synthesis for Low Power Design Prof. Thomas Troxler Hochschule Rapperswil Abstract Power optimization at high levels of abstraction has a significant impact on reduction of power in the final gate-level

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Gal-Tutorium. Einführung in die programmierbare Logik

Gal-Tutorium. Einführung in die programmierbare Logik Gal-Tutorium Einführung in die programmierbare Logik SH-Elektronik 2008 Kapitel 1: Vorbemerkungen 1.1. Einige Anmerkungen zum internen Aufbau von GALs Schaut man sich (z.b. im Internet) den prinzipiellen

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik Humboldt-Universität zu Berlin Institut für Physik 1. Einführung Versuch 8 Digitale Logik Im Unterschied zu analogen oder linearen Schaltungen sind logische Schaltungen zur Übertragung zweier bestimmter

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre.

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre. Fakultät IV Elektrotechnik/Informatik Klausur Einführung in die Informatik I für Elektrotechniker Name:... Matr.-Nr.... Bearbeitungszeit: 120 Minuten Bewertung (bitte offenlassen : ) Aufgabe Punkte Erreichte

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

16-Segment- Dekoder (mit CPLD)

16-Segment- Dekoder (mit CPLD) 16-Segment- Dekoder (mit CPLD) Autor: Buchgeher Stefan Letzte Bearbeitung: 20. Juli 2005 Inhaltsverzeichnis 1. EINLEITUNG...5 2. WAHRHEITSTABELLE DES 16-SEGMENT-DEKODERS...6 3. UMSETZUNG DER WAHRHEITSTABELLE

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Ringlicht-v3 - Frei konfigurierbares Ringlicht mit RS232 Anbindung. Kurzbeschreibung

Ringlicht-v3 - Frei konfigurierbares Ringlicht mit RS232 Anbindung. Kurzbeschreibung Ringlicht-v3 - Frei konfigurierbares Ringlicht mit RS232 Anbindung Kurzbeschreibung Das frei konfigurierbare Ringlicht kann jede beliebige Abfolge an Lichtmustern erzeugen und über einen Triggereingang

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

Franzis Ingenieur-Elektronik. Jan Hendrik Jansen. Anwendung digitaler Bausteine. Beispiele komplexer Teilschaltungen aus digitalen Bausteinen

Franzis Ingenieur-Elektronik. Jan Hendrik Jansen. Anwendung digitaler Bausteine. Beispiele komplexer Teilschaltungen aus digitalen Bausteinen Franzis Ingenieur-Elektronik Jan Hendrik Jansen Anwendung digitaler Bausteine Beispiele komplexer Teilschaltungen aus digitalen Bausteinen Mit 258 Abbildungen Franzis 1 Verdrahtungstechniken und Verbindungssysteme

Mehr

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell: inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen

Mehr

Prof. Dr. Rudolf Latz Fachbereich Informatik & Kommunikation Westfälische Hochschule Gelsenkirchen - Bocholt - Recklinghausen

Prof. Dr. Rudolf Latz Fachbereich Informatik & Kommunikation Westfälische Hochschule Gelsenkirchen - Bocholt - Recklinghausen Technische Grundlagen der Informatik (TGI) Formel- und Diagrammsammlung zu den Vorlesungen Technische Grundlagen der Informatik ( TGI) Prof. Dr. Rudolf Latz Fachbereich Informatik & Kommunikation Westfälische

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Praktikumsanleitung. IGP Technische Informatik 1 Versuch 1: Digitale Grundschaltungen (Studiengänge BT,EIT,FZT,II,LA,MB,MT,MTR,OTR,WSW)

Praktikumsanleitung. IGP Technische Informatik 1 Versuch 1: Digitale Grundschaltungen (Studiengänge BT,EIT,FZT,II,LA,MB,MT,MTR,OTR,WSW) Technische Universität Ilmenau Fakultät für Informatik und Automatisierung Institut für Theoretische und Technische Informatik Fachgebiet Integrierte Hard- und Softwaresysteme Praktikumsanleitung IGP Technische

Mehr

Digitaltechnik und Rechnerarchitektur Vorlesung

Digitaltechnik und Rechnerarchitektur Vorlesung Digitaltechnik und Rechnerarchitektur Vorlesung Kapitel 4: Praktische Realisierung digitaler Schaltungen FH snabrück Prof. Dr.-Ing. B. Lang. Version vom 8.3.2 Inhalt des Abschnitts 4 PRAKTISCHE REALISIERUNG

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr