Technische Grundlagen der Informatik

Größe: px
Ab Seite anzeigen:

Download "Technische Grundlagen der Informatik"

Transkript

1 Technische Grundlagen der Informatik WS 2008/ Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1

2 Inhalt Wiederholung: Gleitkommadarstellung Konstruktion Normalisierte / denormalisierte Zahlen ROM Realisierung digitaler Lösungen Programmierbare Logikbausteine isplever WS 2008/2009 Technische Grundlagen der Informatik 2

3 Zahlendarstellung WS 2008/2009 Technische Grundlagen der Informatik 3

4 Festkomma-Darstellung Verwendung von n+1 Vorkommastellen und m Nachkommastellen zur Darstellung. Bedingt durch die Reservierung von m Bit für Nachkommastellen ist das Intervall zwischen größter und kleinster darstellbarer Zahl sehr klein. Wie könnte es vergrößert werden? Durch eine Reduktion der Bit für Nachkommastellen. Bessere Lösung: Gleitende Position des Binärkommas. WS 2008/2009 Technische Grundlagen der Informatik 4

5 Gleitkomma-Darstellung Anforderung: Für betragsmäßig g große Zahlen soll die Anzahl der Nachkommastellen reduziert werden und bei betragsmäßig g kleinen Zahlen soll die Zahl der Vorkommastellen reduziert werden. Bei der Gleitkomma-Darstellung (engl.: floating point representation) wird die Zahl a mit Hilfe der Mantisse m und dem Exponenten e zu einer Basis b dargestellt. WS 2008/2009 Technische Grundlagen der Informatik 5

6 Beispiel 123 i 10 7 = 0, Mantisse: -123 Exponent: -7 Basis: 10 Alternative Darstellungen ,3 i10 = 1, 23 i10 keine Eindeutigkeit in der Darstellung WS 2008/2009 Technische Grundlagen der Informatik 6

7 Übung Wie viele Nachkommastellen hat 1,25 * 10-2? Wie viele Nachkommastellen hat 1,25 * 10 2? 1,25 * 10-2 = 0,0125 1,25 * 10 2 = 125,0 WS 2008/2009 Technische Grundlagen der Informatik 7

8 Normalisierte Gleitkommazahlen In der normalisierten Darstellung hat die Mantisse exakt eine Vorkommastelle. ± m, m... m i b, wobei m = 1 e 0 1 p 1 0 Für die Darstellung der Mantisse wird eine feste Zahl von Stellen verabredet. Für den Exponenten wird ein Wertebereich [e min,e max ] verabredet. WS 2008/2009 Technische Grundlagen der Informatik 8

9 Übung Annahmen: Wir haben eine normalisierte Darstellung. Die Mantisse hat 2 Nachkommastellen in binärer Darstellung. Der Exponent hat 3 bit inklusiv Vorzeichen. Als Basis wird 10 gewählt. Berechnen Sie für benachbarte Zahlen: - das betragsmäßig kleinste Intervall. - das betragsmäßig größte Intervall. 0,25 * 10-3 = 0, * 0, = 250 WS 2008/2009 Technische Grundlagen der Informatik 9

10 Darstellung der Null? Auf Grund der Verabredung für die Mantisse kann m = 0,0 nicht dargestellt werden. Daher wird die Null folgendermaßen dargestellt: 10 1,0 i b e min 1 WS 2008/2009 Technische Grundlagen der Informatik 10

11 Übung Notieren Sie bitte die normalisierten i Gleitkommazahlen, die auf der Basis der bisherigen Ausführungen bei Ver- wendung von 2 Nachkommabits (x,xx) xx) für die Mantisse, e min =-1, e max =2 und b=2 dargestellt werden können. Stellen Sie die Liste bitte in Dezimaldarstellung auf. 1,00* ,00*2-1 0,5 1,01*2-1 0,625 1,10*2-1 0,75 1,11*2-1 0,875 1,00*2 0 1,0 1,01*2 0 1,25 1,10*2 0 1,5 111*2 1,11* ,75 1,00* ,01*2 1 2,5 1,10*2 1 3,0 1,11*2 1 3,5 1,00* ,01* ,10* ,11*2 2 7 Problem? WS 2008/2009 Technische Grundlagen der Informatik 11

12 Denormalisierte Zahlen 1,00*2 0 1,11*2-1 =? In unserem Zahlensystem lautet das Ergebnis: 1,00 * 2-2 Anweisung: if (X!= Y) then Z = 1/(X-Y) Was passiert für den Fall X=1,00*2 0 und Y=1,11*2-1? Laufzeitfehler, da durch 0 geteilt wird! Für e=e min wird m 0 =0 zugelassen. Die so eingeführten Zahlen werden als denormalisierte oder subnormale Zahlen bezeichnet. WS 2008/2009 Technische Grundlagen der Informatik 12

13 Übung (erweitert) Notieren Sie bitte die normalisierten und denormalisierten Gleitkommazahlen, die auf der Basis der bisherigen Ausführungen bei Verwendung von 2 Nachkommabits (x,xx) für die Mantisse, e min =-1, e max =2 und b=2 dargestellt werden können. Stellen Sie die Liste bitte in Dezimaldarstellung auf. WS 2008/2009 Technische Grundlagen der Informatik 13

14 Erweitertes Beispiel 1,00* ,01*2-1 0,125 0,10*2-1 0,25 0,11*2-1 0,375 1,00*2-1 0,5 1,01*2-1 0,625 1,10*2-1 0,75 1,11*2-1 0,875 1,00* ,0 1,01*2 0 1,25 110*2 1, ,5 1,11*2 0 1,75 1,00* ,01*2 1 2,5 1,10*2 1 3,0 1,11*2 1 3,5 1,00* ,01* ,10* ,11*2 2 7 WS 2008/2009 Technische Grundlagen der Informatik 14

15 Definition eines Gleitkomma- Zahlensystems Basis (base, radix) b >= 2 Mantissenlänge (precision) p >= 2 kleinster Exponent e min < 0 größter Exponent e max > 0 Normalisierungsindikator i i denorm, hierbei handelt es sich um einen Wahrheitswert h t (true bedeutet, t dass denormalisierte Zahlen enthalten sind) WS 2008/2009 Technische Grundlagen der Informatik 15

16 Beispiele Ein Gleitkomma-Zahlensystem t kann durch F(b,p,e min,e max,denorm) definiert werden. Intel x86-prozessoren einfach genau: F(2,24,-126,127,true) Intel x86-prozessoren doppelt genau: F(2,53,-1022,1023,true) Die beiden Beispiele folgen der IEEE Norm 754. WS 2008/2009 Technische Grundlagen der Informatik 16

17 IEEE, single precision I F(2,24,-126,127,true) (,,,, ) ca. 4,26 * 10 9 normalisierte und ca. 1,7 * 10 7 denormalisierte Gleitkommazahlen können dargestellt werden kleinster Wert ca. 1,18 * größter Wert ca. 3,40 * IEEE 754 Norm für Gleitkommazahlen wurde erst im Jahr 1989 verabschiedet. Sie ist als IEC 559:1989 auch internationale Norm. WS 2008/2009 Technische Grundlagen der Informatik 17

18 IEEE, single precision II Z = M S E O ( 1) i i2, wobei O = 127 WS 2008/2009 Technische Grundlagen der Informatik 18

19 IEEE, single precision (Beispiel) Beispiel: Fraktion f = (beachte ) bit 0-22 dargestellter Exponent e = bit Vorzeichen s = 0 bit 31 Damit ist die Darstellung im IEEE 754-Format mit einfacher Genauigkeit (single precision): WS 2008/2009 Technische Grundlagen der Informatik 19

20 Rundung In der Mathematik sind die reellen Zahlen unendlich. Jedes Gleitkomma-Zahlensystem t hat endlich viele Elemente. Daher müssen Verfahren zur Rundung realisiert werden, nicht nur für Zahlen die kleiner oder größer sind als die Extremwerte. Bei der Durchführung der Rundung resultiert ein Rundungsfehler. WS 2008/2009 Technische Grundlagen der Informatik 20

21 Festwertspeicher (ROM) Auf einen typischen ROM wird während des Betriebs ausschließlich lesend zugegriffen. Die Programmierung (Schreiben) eines ROM ist deutlich aufwändiger als der Lesezugriff. Der Aufbau eines ROM entspricht hinsichtlich der Matrixanordnung der Speicherzellen und der Adressverwaltung dem Aufbau eines RAM. WS 2008/2009 Technische Grundlagen der Informatik 21

22 Maskenprogrammierte ROM Für große Stückzahlen werden bei der Herstellung der Speicher die Information fest eingegeben. g Die zu speichernde Information wird mittels einer Metallisierungsmaske eingebracht. Beispiel: Haushaltsgeräte WS 2008/2009 Technische Grundlagen der Informatik 22

23 Programmierbare ROM (PROM) Mit speziellen Programmiergeräten können PROM vom Anwender programmiert werden. Die Programmierung erfolgt durch die Herstellung von Verbindungen (Anti- Fuse) oder durch die Trennung von Verbindungen (Fuse). Die Programmierung ist irreversibel. WS 2008/2009 Technische Grundlagen der Informatik 23

24 UV-löschbares PROM (EPROM) EPROMS können mit speziellen Geräten programmiert und gelöscht werden. Für die Programmierung wird eine Spannung von ca. 20 Volt benötigt. Bei der Programmierung werden mit Hilfe des Avalanche-Effektes (Lawineneffektes) elektrische Ladungen injiziert. Für die Löschung wird entsprechend energiereiches Licht benötigt. Die Löschung dauert einige Minuten. Der Baustein muss i.a. zur Löschung aus der Schaltung entfernt werden. WS 2008/2009 Technische Grundlagen der Informatik 24

25 Elektrisch lösch- und programmierbare ROM (EEPROM) Der Baustein kann für den Löschvorgang in der Schaltung verbleiben. Für den Löschvorgang wird eine Spannung von ca. 20 Volt benötigt. Für Programmierung und Löschung wird der Tunneleffekt ausgenutzt. Jedem Schreibvorgang wird ein Löschvorgang vorgeschaltet. Der Schreibvorgang für ein Byte benötigt ca. 10 ms. Anzahl von Lösch-/Schreibzyklen: ca Speicherdauer: mindestens 10 Jahre WS 2008/2009 Technische Grundlagen der Informatik 25

26 Floating-Gate-Technologie für EEPROM-Speichertransistor WS 2008/2009 Technische Grundlagen der Informatik 26

27 Flash-Speicher Weiterentwicklung der EEPROMs. Im Fall des Flash-Speichers wir im Unterschied zu EEPROMS der Speicher blockweise (!) gelöscht. In ersten Realisierungen i wurde der Speicher mit einem Flash (Blitz) vollständig gelöscht. Ein Block umfasst ca. 0,5 KB 128 KB. Der direkte Zugriff auf einzelne Bytes ist prinzipiell nicht möglich. Dies entspricht dem Prinzip von Massenspeichern, die eine typische Blockgröße von 512 Byte haben. Moderne Typen kommen mit 5 Volt als Programmier- und Löschspannung aus. WS 2008/2009 Technische Grundlagen der Informatik 27

28 Aktuelle Flash-Speicher Lesen/Schreiben Kapazität Memory Stick 10/3 MB/s 8 GB (16 GB) CompactFlash (CF) 20/20 MB/s 8 GB (32 GB) SecureDigital Card (SD) 20/15 MB/s 16 GB (32 GB) USB-2.0-Stick 33/15 MB/s 32 GB (64 GB) Solid-State Disk (SSD) 175/100 MB/s (250/170 MB/s) 32 GB (256 GB) MultimediaCard (MMC) 15/15 MB/s 1 GB (4 GB) WS 2008/2009 Technische Grundlagen der Informatik 28

29 Zum Vergleich Speichertyp Speichertakt Bezeichnung Bandbreite SDRAM 133 MHz PC133 1,1 GB/s DDR MHz PC2100 2,1 GB/s DDR MHz PC3200 3,2 GB/s DDR MHz PC ,2 GB/s DDR MHz PC ,3 GB/s DDR MHz PC ,5 GB/s DDR MHz PC ,5 GB/s DDR MHz PC ,6 GB/s DDR MHz PC ,8 GB/s PC3200: 200 MHz 8 Byte 2 Zugriffe/Takt = 3200 MByte/s WS 2008/2009 Technische Grundlagen der Informatik 29

30 Fazit Eigenschaften SRAM DRAM EE- FLASH FRAM/ PROM MRAM Nichtflüchtig nein nein ja ja ja kleine Zellenmaße nein ja nein ja ja Wortweise les-/schreibbar ja ja ja nein ja geringer Leistungsbedarf ja ja nein nein ja schneller Schreibzugriff ja ja nein nein ja Schreibzyklen ja ja nein nein ja Kostengünstig nein ja nein ja ja WS 2008/2009 Technische Grundlagen der Informatik 30

31 Realisierung digitaler Systeme WS 2008/2009 Technische Grundlagen der Informatik 31

32 Realisierung digitaler Lösungen Full Custom IC ASIC (Application Specific Integrated Circuit) Bausteine mit programmierbarer Logik WS 2008/2009 Technische Grundlagen der Informatik 32

33 Full Custom IC (Integrated Circuit) individuelle Entwicklung eines digitalen Systems lange Entwicklungszeiten sehr große Stückzahlen individuelle Fertigung geringer Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 33

34 Application Specific Integrated Circuit (ASIC) Hersteller stellt umfangreiche Bibliotheken für Funktionen verkürzte Entwicklungszeiten Schaltung wird mit einer Hardware- Beschreibungssprache beschrieben Hersteller realisiert Schaltung auf der Basis eines adäquaten ASIC große Stückzahlen günstiger g Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 34

35 Programmierbare Logik Hersteller bieten programmierbare Logik-Bausteine an Lösung wird vom Anwender entwickelt hohe Flexibilität kleine Stückzahlen hoher Stückpreis WS 2008/2009 Technische Grundlagen der Informatik 35

36 Programmierbare Bausteine PLD (Programmable Logic Device) programmierbare Logikelemente (seit Mitte der 70er) PLD stellen eine logische Grundstruktur zur Verfügung, die vom Entwickler nach Bedarf konfiguriert (programmiert) werden kann. Für hoch integrierte PLD stehen Beschreibungssprachen zur Verfügung. WS 2008/2009 Technische Grundlagen der Informatik 36

37 Verfahren zur Programmierung PROM (Programmable Read Only Memory)- Prinzip: Durchbrennen einer Sicherung (Fuse) oder Entfernen einer Isolierung (Antifuse), Programmierung ist irreversibel EPROM (Erasable PROM)-Prinzip: Programmierung kann durch Bestrahlung mit UV-Licht wieder gelöscht werden EEPROM (Electrical Erasable PROM)- Prinzip: Programmierung kann durch elektrische Impulse wieder gelöscht werden WS 2008/2009 Technische Grundlagen der Informatik 37

38 Typisierung PAL (Programmable Array Logic): Programmierbare UND-Matrix, feste Oder- Matrix, von einem Hersteller auch als GAL (Generic Array Logic) bezeichnet PLE (Programmable Logic Element): Programmierbare Oder-Matrix, feste Und-Matrix PLA (Programmable Logic Array): Programmierbare UND-Matrix und programmierbare ODER-Matrix WS 2008/2009 Technische Grundlagen der Informatik 38

39 Prinzip PAL Frei programmierbare UND-GATTER Fest verschaltete ODER-Gatter WS 2008/2009 Technische Grundlagen der Informatik 39

40 Beispiel PAL Y1 = ( X2 X3) ( X1 X2 X3) X1 Y2 = ( X1 X2 X3) ( X1 X2 X3) ( X 1 X 2) WS 2008/2009 Technische Grundlagen der Informatik 40

41 PLA Struktur D C B A Y 1 Y Die mit der Wahrheitstabelle definierten Booleschen Funktionen sollen mit der unten dargestellten PLA-Struktur realisiert werden. WS 2008/2009 Technische Grundlagen der Informatik 41

42 PLA Struktur Y 1 B A * * 0 1 * * 0 B * * 1 * 1 0 D 0 * 0 1 Y 2 C C A D WS 2008/2009 Technische Grundlagen der Informatik 42

43 PLA Struktur Y1 = ( A C D) ( A B D) ( A B C) Y2 = ( A B C ) ( A B D ) ( A B C ) ( A C D ) WS 2008/2009 Technische Grundlagen der Informatik 43

44 Erweiterung Einfache PAL Elemente haben mindestens 8 Ein- und Ausgänge Moderne PAL Bausteine verfügen über komplexe, programmierbare Makrozellen die Ausgänge verfügen über Register die Ausgänge können zurück gekoppelt werden WS 2008/2009 Technische Grundlagen der Informatik 44

45 CPLD (Complex Programmable Logic Device) komplexe PLDs mit einer Block-Struktur jeder Block entspricht einem einfachen PAL die Blöcke werden über eine programmier- bare Schaltmatrix t miteinander i verbunden ein einzelner Block enthält typischerweise ca. 50 Eingänge und Ausgänge jeder Ausgang kann aus Produkt- termen gebildet werden WS 2008/2009 Technische Grundlagen der Informatik 45

46 FPGA (Field Programmable Gate Array) frei programmierbarer Logikschaltkreis aus einzelnen Logikblöcken (CLBs Configurable Logic Blocks) aufgebaut in den einzelnen Blöcken werden einfache Operationen und auch Flip-Flop-Logik Flop zur Verfügung gestellt teilweise werden FPGAs ausschließlich über Look-Up Tabellen realisiert hohe Komplexität Selbstkonfigurierende Systeme werden möglich WS 2008/2009 Technische Grundlagen der Informatik 46

47 CPLD vs. FPGA CPLD Wenige Logikblöcke mit großer Anzahl an Makrozellen Kurze Wege FPGA Viele Logikblöcke mit kombinatorischer Logik Lange Wege Platzierung und Routing fest vorgegeben Platzierung und Routing variabel Schaltzeiten einfach vorhersagbar Schaltzeiten sind von der Größe des Designs sowie Platzierung und Routing abhängig Hohe Taktfrequenzen unabhängig von der konkreten Schaltung Taktfrequenz ist von der Größe der Schaltung abhängig Kleine und mittelgroße Für sehr komplexe Schaltungen Schaltungen geeignet g WS 2008/2009 Technische Grundlagen der Informatik 47

48 Programmierung (CPLD, FPGA) Beispiel: isplever WS 2008/2009 Technische Grundlagen der Informatik 48

49 Programmierung g ISP (In System Programming) HDL (Hardware Description Language) VHDL (VHSIC HDL) VHSIC (Very High Speed Integrated Circuit) Abel (Advanced Boolean Expression/Equation Language) Abel wurde in den 80er Jahren entwickelt und ist für kleinere Schaltungen hinreichend. VHDL und Verilog sind die weltweit am meisten genutzten Hardware-Beschreibungssprachen und sind beide von IEEE standardisiert. WS 2008/2009 Technische Grundlagen der Informatik 49

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 17. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung ROM Inhalt Realisierung digitaler Systeme Endliche Automaten

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 9. Vorlesung Klaus Kasper Inhalt Realisierung digitaler Systeme Nutzung isplever Automaten Moore-Automat Mealy-Automat Beispiel Übung Massenspeicher Digitaltechnik 2 2 Realisierung

Mehr

Rechnergrundlagen SS Vorlesung

Rechnergrundlagen SS Vorlesung Rechnergrundlagen SS 2007 8. Vorlesung Inhalt Gleitkomma-Darstellung Normalisierte Darstellung Denormalisierte Darstellung Rechnerarchitekturen Von Neumann-Architektur Harvard-Architektur Rechenwerk (ALU)

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 7. Vorlesung Klaus Kasper Inhalt Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Digitaltechnik 2 2 Digitaltechnik

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

ASIC Application-Specific Integrated Circuit

ASIC Application-Specific Integrated Circuit ASIC Application-Specific Integrated Circuit Technische Informatik Henning Rob Sonntag, 21. Januar 2018 Agenda Einführung Schaltungsentwurf Arten von ASICs 21.01.2018 ASIC - Henning Rob 2 Agenda Einführung

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

F. Technologische Grundlagen

F. Technologische Grundlagen F. Technologische Grundlagen F.1. Einordnung Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

D. Programmierbare Logik

D. Programmierbare Logik D. Programmierbare Logik Bisher: - wenige Schaltkreise pro Chip, - feste Verdrahtung. Nun: - Generischer Schaltkreis, - Löschen & programmieren, - Umfangreiche Funktionalität, - Einstellbare Logikfunktionen,

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 14. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Halbleiterspeicher i Statisches RAM Dynamisches RAM Zahlendarstellung

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Elektronischer Speicher

Elektronischer Speicher Halbleiterspeicher Halbleiterspeicher dient der zeitlich begrenzten oder unbegrenzten Aufbewahrung von Daten, Zuständen und Programmen in Form von digitalen Signalen. Der Begriff resultiert aus dem Grundwerkstoff

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Grundzüge der Informatik Tutorium Gruppe 6

Grundzüge der Informatik Tutorium Gruppe 6 Grundzüge der Informatik Tutorium Gruppe 6 Inhalt Einführung Numerik Fest- und Termin 5 07.2.2006 Apfelthaler Kathrin Test-Beispiel e0225369@student.tuwien.ac.at Numerik Festpunkt-Darstellung Berechnung

Mehr

Entwurf integrierter Schaltungen

Entwurf integrierter Schaltungen Navigation Entwurf integrierter Schaltungen Entwurf integrierter Schaltungen Klassifizierung nach Struktur Flexibilität hat ihren Preis Individualisten Marktorientierte Einteilung Kosten und Stückzahlen

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben Zwischenklausur Informatik, WS 206/7 4.2.206 Lösungen zu den Aufgaben. Gegeben sind folgende Dualzahlen in Zweierkomplementdarstellung. Geben Sie den jeweils zugehörigen Dezimalwert an! a) entspricht der

Mehr

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder

Lösung 2.1 PROM - Dual-zu-Siebensegmentdecoder Lösung 2. PROM - Dual-zu-Siebensegmentdecoder Die Ziffern bzw. Buchstaben sollen auf der Siebensegmentanzeige gemäß der Abbildung dargestellt werden: 0 2 3 4 5 6 7 8 9 0 2 3 4 5 Die Ansteuerung der Leuchtsegmente

Mehr

Entwurf integrierter Schaltungen

Entwurf integrierter Schaltungen 1.2 Entwurf integrierter Schaltungen Entwurf integrierter Schaltungen Randbedingungen Strukturorientierte Klassifizierung integrierter Schaltungen Flexibilität hat ihren Preis Optimierte Individualisten

Mehr

Elektrizitätslehre und Elektronik. Halbleiterspeicher

Elektrizitätslehre und Elektronik. Halbleiterspeicher 1/5 Halbleiterspeicher Ein Halbleiterspeicher ist ein Datenspeicher, der aus einem Halbleiter besteht, in dem mittels der Halbleitertechnologie integrierte Schaltkreise realisiert werden. Die Daten werden

Mehr

2.1.2 Gleitkommazahlen

2.1.2 Gleitkommazahlen .1. Gleitkommazahlen Überblick: Gleitkommazahlen Gleitkommadarstellung Arithmetische Operationen auf Gleitkommazahlen mit fester Anzahl von Mantissen- und Exponentenbits Insbesondere Rundungsproblematik:

Mehr

Speicherarten eines Mikrokontrollers

Speicherarten eines Mikrokontrollers Speicherarten eines Mikrokontrollers Simon Hermann 4. Juni 2015 Speicherarten eines Mikrokontrollers Gliederung Klassifizierung von Halbleiterspeichern EEPROM 1. Aufbau 2. Read/Write Prozess 3. Arten der

Mehr

Die Zahl ist: (z 2, z 1, z 0 ) (z ) : 7 = 0 Rest z 2

Die Zahl ist: (z 2, z 1, z 0 ) (z ) : 7 = 0 Rest z 2 Übungen zur Vorlesung Technische Informatik I, SS Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 4 Rechnerarithmetik Aufgabe : a) Bestimmen Sie die Darstellung der Zahl 3 zur Basis 7. 3 = 7 (Sehen Sie

Mehr

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12

Ram/Rom/EPRom WIRTSCHAFTSINGENIEURSWESEN. Ausbildungsschwerpunkte: BETRIEBSMANAGEMENT LOGISTIK. Xaver Schweitzer. Jahr: 2011/12 Name: Klasse: Xaver Schweitzer 1BHWI Jahr: 2011/12 Ram/Rom/EPRom Abb. 1 Abb. 2 Abb. 3 Ram Rom EPRom 22.09.2011 1 von 10 Inhaltsverzeichnis INHALTSVERZEICHNIS... 2 EINLEITUNG... 3 RAM... 4 SRAM - Static

Mehr

Numerik. Festpunkt-Darstellung

Numerik. Festpunkt-Darstellung Numerik Ablauf: Festpunkt-Darstellung Gleitpunkt-Darstellung Runden Addition/Subtraktion Multiplikation Ausblick und Zusammenfassung Wolfgang Kastner, Institut für Rechnergestützte Automation, TU Wien

Mehr

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1

Modul 304: Personalcomputer in Betrieb nehmen Thema: Speicher. Speicher / Memory V 1.0. Technische Berufsschule Zürich IT Seite 1 Speicher / Memory V 1.0 Technische Berufsschule Zürich IT Seite 1 Einleitung: Der Speicher (engl. Memory) ist eine Kernfunktion in einem Rechner. Programme und Daten werden in Speichern abgelegt. Man spricht

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

6.2 Kodierung von Zahlen

6.2 Kodierung von Zahlen 6.2 Kodierung von Zahlen Neue Begriffe é Festkommadarstellungen é Zahlendarstellung durch Betrag und Vorzeichen é Einer-/Zweierkomplement-Darstellung é Gleitkommadarstellung é IEEE-754 Format BB TI I 6.2/1

Mehr

Grundlagen der Technischen Informatik. 4. Übung

Grundlagen der Technischen Informatik. 4. Übung Grundlagen der Technischen Informatik 4. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 4. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: IEEE Format Zahlenumwandlung

Mehr

Grundlagen der Technischen Informatik. 4. Übung

Grundlagen der Technischen Informatik. 4. Übung Grundlagen der Technischen Informatik 4. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 4. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: IEEE Format Zahlenumwandlung

Mehr

HaDePrak WS 05/ Versuch

HaDePrak WS 05/ Versuch HaDePrak WS 05/06 10. Versuch 1 Das IEEE-Format Das Ziel dieser letzten Übung ist es, ein Fließkommapaket für die DLXzu implementieren. Der Einfachheit halber vernachlässigen wir hier im Praktikum jeglichen

Mehr

Erweiterung von Adressraum und Bit Tiefe

Erweiterung von Adressraum und Bit Tiefe Erweiterung von Adressraum und Bit Tiefe Erweiterung des vorigen Beispiels ist offensichtlich: Vergrößerung des Adressraums (in der Größenordnung 2 n ): Füge eine Adressleitung hinzu und verdoppele die

Mehr

Das Verfahren in Hardware

Das Verfahren in Hardware Das Verfahren in Hardware Links Shift 8 Bit Multiplikand Demonstration mit 1001 * 0110 = 110110 2.Links Shift 8 Bit ALU Rechts Shift 4 Bit Multiplikator 3.Rechts Shift 8 Bit Produkt 1. Produkt = Produkt

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

, 2017S Übungstermin: Di.,

, 2017S Übungstermin: Di., VU Technische Grundlagen der Informatik Übung 1: Zahlendarstellungen, Numerik 183.579, 2017S Übungstermin: Di., 14.03.2017 Allgemeine Hinweise: Versuchen Sie beim Lösen der Beispiele keine elektronischen

Mehr

Halbleiterspeicher. Halbleiterspeicher

Halbleiterspeicher. Halbleiterspeicher Halbleiterspeicher Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin Halbleiterspeicher RAM Random Access Memory Schreib-Lese-Speicher SRAM statischer RAM DRAM dynamischer RAM Liers - PEG-Vorlesung

Mehr

Multiplikation. Grundlagen der Rechnerarchitektur Logik und Arithmetik 79

Multiplikation. Grundlagen der Rechnerarchitektur Logik und Arithmetik 79 Multiplikation Grundlagen der Rechnerarchitektur Logik und Arithmetik 79 Multiplikation nach der Schulmethode Gegeben seien die Binärzahlen A und B. Was ist a * b? Beispiel: Multiplikand A: 1 1 0 1 0 Multiplikator

Mehr

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1.

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Rechnerstrukturen 5. Speicher 5.1 Motivation Speichertypen RAM / ROM Dynamisches RAM Inhalt Cache-Speicher Voll Assoziativ n-wege Assoziativ Direct Mapping 5.2 (c) Peter Sturm, Universität Trier 1 Der

Mehr

2 Computerarithmetik 2.1 Gleitkommazahlen

2 Computerarithmetik 2.1 Gleitkommazahlen 2 Computerarithmetik 2.1 Gleitkommazahlen Mathematische Modelle beschreiben Phänomene quantitativ mittels unendlicher Systeme von Zahlen. Beispiele sind die rationalen Zahlen Q (abzählbar unendlich) sowie

Mehr

Informationsmenge. Maßeinheit: 1 Bit. 1 Byte. Umrechnungen: Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit

Informationsmenge. Maßeinheit: 1 Bit. 1 Byte. Umrechnungen: Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit Informationsmenge Maßeinheit: 1 Bit Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit 1 Byte Zusammenfassung von 8 Bit, kleinste Speichereinheit im Computer, liefert

Mehr

Digitale Speicher I. Tabelle 1: Hexadezimales Zahlensystem

Digitale Speicher I. Tabelle 1: Hexadezimales Zahlensystem Digitale Speicher I Vorbesprechung Was ist ein Speicherbaustein? Ein Speicherbaustein ist ein elektronisches Bauteil in dem ein Programm oder Daten bzw. auch beides gespeichert werden können. Ein Programm

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Grundlagen der Programmierung

Grundlagen der Programmierung Grundlagen der Programmierung 5. Vorlesung 06.11.2018 1 Zahlendarstellungen 2 Speicherinhalte: Bits Hardware Spannung Ladung Magnetisierung Codierung 0V ungeladen unmagnetisiert 0 5V geladen magnetisiert

Mehr

Rechnerorganisation. H.-D. Wuttke `

Rechnerorganisation. H.-D. Wuttke ` Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Notizen-Neuerungen PC- HAUPTSPEICHER

Notizen-Neuerungen PC- HAUPTSPEICHER PC- HAUPTSPEICHER Einleitung...2 Erklärung... 2 Technische Grundlagen... 3 Die Vorläufer der heutigen Speicherarten...4 Von SDRAM zu DDR RAM und RDRAM... 5 Die Unterschiede zwischen SDRAM und DDR RAM...

Mehr

Computergestützte Mathematik zur Linearen Algebra

Computergestützte Mathematik zur Linearen Algebra Computergestützte Mathematik zur Linearen Algebra Pivotwahl und Gleitkommaarithmetik Achim Schädle 3. und 20. Dezember 208 Achim Schaedle (HHU) CompLinA 3. und 20. Dezember 208 Instabilitäten bei Gauß-Elimination

Mehr

EEPROM Lesen/Schreiben über SPI-Bus

EEPROM Lesen/Schreiben über SPI-Bus EEPROM Lesen/Schreiben über SPI-Bus Experiment EEPROMtest 6 A.Schultze / DK4AQ 15.06.2013 Was ist ein EEPROM? EEPROM = Electrical Erasable Programmable Read Only Memory Ein EEPROM kann elektrisch geschrieben

Mehr

3. Datentypen, Ausdrücke und Operatoren

3. Datentypen, Ausdrücke und Operatoren 3. Datentypen, Ausdrücke und Operatoren Programm muß i.a. Daten zwischenspeichern Speicherplatz muß bereitgestellt werden, der ansprechbar, reserviert ist Ablegen & Wiederfinden in höheren Programmiersprachen

Mehr

Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen Darstellung ganzer Zahlen

Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen Darstellung ganzer Zahlen 3 Zahlendarstellung - Zahlensysteme - b-adische Darstellung natürlicher Zahlen - Komplementbildung - Darstellung ganzer und reeller Zahlen Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen......

Mehr

1.5 Einführung und Zahlensysteme/Darstellung gebrochener Zahlen

1.5 Einführung und Zahlensysteme/Darstellung gebrochener Zahlen 1.5 Einführung und Zahlensysteme/Darstellung gebrochener Zahlen 1.5.1 Situation Manchmal möchte man in Programmen mit Kommazahlen rechnen. In der Mathematik Im der Wirtschaft, im kaufmännischen Bereich

Mehr

Rundungsfehler-Problematik bei Gleitpunktzahlen

Rundungsfehler-Problematik bei Gleitpunktzahlen Rundungsfehler-Problematik bei Gleitpunktzahlen 1 Rechnerzahlen 2 Die Rundung 3 Fehlerverstärkung bei der Addition Rundungsfehler-Problematik 1 1. Rechnerzahlen allgemeine Zahlendarstellung zur Basis b

Mehr

bei Unterlauf wird stattdessen Hälfte des Divisors addiert Ersparnisse einer Addition bzw. Subtraktion

bei Unterlauf wird stattdessen Hälfte des Divisors addiert Ersparnisse einer Addition bzw. Subtraktion 6.2 Non-Restoring Division Restoring Division Divisor wird subtrahiert falls Unterlauf (Ergebnis negativ) Divisor wird wieder addiert im nächsten Durchlauf wird die Hälfte des Divisor subtrahiert (Linksshift

Mehr

Ein Vortrag von Kamal Laghmari im Fach: Technische Informatik

Ein Vortrag von Kamal Laghmari im Fach: Technische Informatik Vortrag über FPAA`s Ein Vortrag von im Fach: Technische Informatik Inhalt o Einführung in FPAA`s o Funktionsweise o Architektur o Switched Capacity (SC) o Entwicklungsmethoden o Anwendungsgebiete oausblick

Mehr

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten...

einfache DRAMs sind heute nicht mehr erhältlich, sondern nur noch die schnelleren DRAM-Varianten... 3 DRAM (10) Vor-/Nachteile von DRAM-Bausteinen: periodischer Refresh erforderlich hohe Zugriffszeit von ca. 60 ns für das erste Datenwort, dank FPM kürzere Zugriffszeit von ca. 30 ns für folgende Datenworte

Mehr

in vielen technischen und wissenschaftlichen Anwendungen erforderlich: hohe Präzision große Dynamik möglich durch Verwendung von Gleitkommazahlen

in vielen technischen und wissenschaftlichen Anwendungen erforderlich: hohe Präzision große Dynamik möglich durch Verwendung von Gleitkommazahlen Inhalt Motivation 2 Integer- und Festkomma-Arithmetik Zahlendarstellungen Algorithmen für Integer-Operationen Integer-Rechenwerke Rechnen bei eingeschränkter Präzision 3 Gleitkomma-Arithmetik Zahlendarstellungen

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Abschnitt 2: Daten und Algorithmen

Abschnitt 2: Daten und Algorithmen Abschnitt 2: Daten und Algorithmen 2. Daten und Algorithmen 2.1 Zeichenreihen 2.2 Datendarstellung durch Zeichenreihen 2.3 Syntaxdefinitionen 2.4 Algorithmen 2 Daten und Algorithmen Einf. Progr. (WS 08/09)

Mehr

Grundlagen der Technischen Informatik. 4. Übung

Grundlagen der Technischen Informatik. 4. Übung Grundlagen der Technischen Informatik 4. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 4. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: IEEE Format Zahlenumwandlung

Mehr

Motivation 31. Mai 2005

Motivation 31. Mai 2005 Motivation 31. Mai 25 Zuletzt behandelt: Zahlendarstellung und Rechnerarithmetik Festkommazahlen: Vorzeichen/Betrag-Darstellung Einerkomplement, Zweierkomplement Rückführung der Subtraktion auf die Addition

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Grundlagen der Technischen Informatik. 4. Übung

Grundlagen der Technischen Informatik. 4. Übung Grundlagen der Technischen Informatik 4. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 4. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Aufgabe 5: Aufgabe 6: +/-/*

Mehr

Control Beispiel. Control wird als kombinatorische Schaltung realisiert. Hierzu die Wahrheitstabelle: Control

Control Beispiel. Control wird als kombinatorische Schaltung realisiert. Hierzu die Wahrheitstabelle: Control Control Beispiel Store R1 4 Bit Register R1 SUB 4 Bit Register R2 Store R2 R2 Bit 0 Control wird als kombinatorische Schaltung realisiert. Hierzu die Wahrheitstabelle: Eingabe R2 Bit 0 Zero 0 0 Ausgabe

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

1 Mikrocontroller. 1.1 Speicherarchitekturen bei uc. 1.2 Externer Speicher (Programm/Daten) Mikroprozessortechnik MFB. Speicher, Programmübertragung

1 Mikrocontroller. 1.1 Speicherarchitekturen bei uc. 1.2 Externer Speicher (Programm/Daten) Mikroprozessortechnik MFB. Speicher, Programmübertragung 1 Mikrocontroller... 1 1.1 Speicherarchitekturen bei uc... 1 1.2 Externer Speicher (Programm/Daten)... 1 2 Speichertechnologien... 2 2.1 RAM... 2 2.2 ROM... 2 2.3 PROM... 2 2.4 EEPROM... 2 2.5 Flash...

Mehr

Inhaltsverzeichnis. 1 Einleitung 1

Inhaltsverzeichnis. 1 Einleitung 1 vn 1 Einleitung 1 2 Codierung und Zahlensysteme... 3 2.1 Codes... 3 2.2 Dualcode....4 2.3 Festkonnna-Arithmetik im Dualsystem... 5 2.3.1 Ganzzahlige Addition im Dualsystem... 5 2.3.2 Addition von Festkommazahlen...

Mehr

ASIC s (Application Specific Integrated Circuit)

ASIC s (Application Specific Integrated Circuit) 6. Semester Hard- und Softwaretechnik ASIC s (Application Specific Integrated Circuit) Andreas Zbinden Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung Das vorliegende Dokument zeigt eine

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr