Übung Hardwareentwurf

Größe: px
Ab Seite anzeigen:

Download "Übung Hardwareentwurf"

Transkript

1 Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25)

2 Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung Hardwareentwurf S5, Stefan Reichör 2

3 Finite Machines Moore FSM X Next Next Registers Output Y Mealy FSM X Next Next Registers Output Y Übung Hardwareentwurf S5, Stefan Reichör 3

4 Merkmale einer FSM Einsatz in Ablaufsteuerungen Direkt in HW codiert Zustandsüberführungsfunktion berechnet aus aktuellem Zustand den Folgezustand Zustandscodierungsarten: One-Hot: Anzahl der FFs = Anzahl der Zustände immer nur ein FF ist alle anderen sind Binary: Zustände werden binär durchgezählt (für 2 bit:,,, ) Für größere Anwendungen Verwendung von Microcontrollern Übung Hardwareentwurf S5, Stefan Reichör 4

5 Moore FSM S Present Next Output (Z) S X= X= S S S S S Übung Hardwareentwurf S5, Stefan Reichör 5

6 VHDL example: Moore FSM () entity MOORE is Moore machine 2 port (X, CLOCK : in BIT ; Z: out BIT ); 3 end ; 4 architecture BEHAVIOR of MOORE is 5 type STATE_TYPE is ( S, S,, ); 6 signal CURRENT_STATE, NEXT_STATE : STATE_TYPE ; 7 begin S 8 9 P r o c e s s to hold synchronous elements SYNCH : process ( CLOCK ) begin 2 if CLOCK event and CLOCK = then 3 CURRENT_STATE <= NEXT_STATE ; 4 end if; 5 end process ; S X Next Next Registers Output Y Übung Hardwareentwurf S5, Stefan Reichör 6

7 VHDL example: Moore FSM (2) 6 P r o c e s s to hold combinational l o g i c 7 COMBIN : process ( CURRENT_STATE, X) 8 begin 9 case CURRENT_STATE is 2 when S => 2 Z <= ; 22 if X = then 23 NEXT_STATE <= S; 24 else 25 NEXT_STATE <= ; 26 end if; 27 when S => 28 Z <= ; 29 if X = then 3 NEXT_STATE <= S; 3 else 32 NEXT_STATE <= ; 33 end if; X Next S Next S Registers Output Y Übung Hardwareentwurf S5, Stefan Reichör 7

8 VHDL example: Moore FSM (3) 34 when => 35 Z <= ; 36 if X = then 37 NEXT_STATE <= ; 38 else 39 NEXT_STATE <= ; 4 end if; 4 when => 42 Z <= ; 43 if X = then 44 NEXT_STATE <= ; 45 else 46 NEXT_STATE <= S; 47 end if; 48 end case ; 49 end process ; S S 5 5 end BEHAVIOR ; X Next Next Registers Output Y Übung Hardwareentwurf S5, Stefan Reichör 8

9 Mealy FSM / / S / Present Next Output (Z) X= X= X= X= S / / / / S S S S S / Übung Hardwareentwurf S5, Stefan Reichör 9

10 VHDL example: Mealy FSM () entity MEALY is Mealy machine 2 port (X, CLOCK : in BIT ; Z: out BIT ); 3 end ; 4 architecture BEHAVIOR of MEALY is 5 type STATE_TYPE is ( S, S,, ); 6 signal CURRENT_STATE, NEXT_STATE : STATE_TYPE ; 7 begin / S / / 8 9 P r o c e s s to hold synchronous elements SYNCH : process ( CLOCK ) begin 2 if CLOCK event and CLOCK = then 3 CURRENT_STATE <= NEXT_STATE ; 4 end if; 5 end process ; 6 end BEHAVIOR ; S / / / / / Übung Hardwareentwurf S5, Stefan Reichör

11 VHDL example: Mealy FSM (2) 7 P r o c e s s to hold combinational l o g i c. 8 COMBIN : process ( CURRENT_STATE, X) 9 begin 2 case CURRENT_STATE is 2 when S => 22 if X = then 23 Z <= ; NEXT_STATE <= S; 24 else 25 Z <= ; NEXT_STATE <= ; 26 end if; 27 when S => 28 if X = then 29 Z <= ; 3 NEXT_STATE <= S; 3 else 32 Z <= ; 33 NEXT_STATE <= ; 34 end if; / S / / S / / / / / Übung Hardwareentwurf S5, Stefan Reichör

12 VHDL example: Mealy FSM (3) 35 when => 36 if X = then 37 Z <= ; 38 NEXT_STATE <= ; 39 else 4 Z <= ; 4 NEXT_STATE <= ; 42 end if; 43 when => 44 if X = then 45 Z <= ; 46 NEXT_STATE <= ; 47 else 48 Z <= ; 49 NEXT_STATE <= S; 5 end if; 5 end case ; 52 end process ; / S / / S / / / / / Übung Hardwareentwurf S5, Stefan Reichör 2

13 Xilinx Synthesetool Spezifisch nur für Xilinx FPGAs Herstellerunabhängige Tools: Synopsys, Leonardo Durchgängiger Flow: VHDL Beschreibung Synthese Technologieunabhängiger Schaltplan Mapping auf Technologiezellen Placement & Routing Erstellen eines Programmierbitstreams Download des Bitstreams in einen FPGA Übung Hardwareentwurf S5, Stefan Reichör 3

14 Neues Projekt anlegen Für die Synthese legen Sie ein neues Projekt an Projektname, Verzeichnis bleibt ihrer Fantasie überlassen Device Family: Spartan2 Device: xc2s5-6cs44 Design Flow: XST VHDL wichtig Danach fügen Sie VHDL Dateien (meist als VHDL Module) zum Projekt dazu (rechte Maustaste im Sources Fenster) Implement Design - Place & Route - View/Edit Placed Design (FloorPlanner) Toolbar - Toggle Ressource Graphics Übung Hardwareentwurf S5, Stefan Reichör 4

15 Xilinx LUTs LUT = Lookup Table Kombinatorische Funktion mit 4 Eingängen, Ausgang Implementiert als RAM RAM Inhalt kann programmiert werden Funktionalität der LUT kann programmiert werden Bei Xilinx Spartan: 2 LUTs in einem Slice, 2 Slices in einem CLB XC2S5: 96 CLBs, 5 System Gates XC: 76 CLBs, 2 System Gates XCV32E: CLBs, 4 System Gates Mehr Info unter: Products Product Data Sheets Übung Hardwareentwurf S5, Stefan Reichör 5

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Anleitung für VHDL tools

Anleitung für VHDL tools Anleitung für VHDL tools Harald Affenzeller V 1.0.0 Email: Harald.Affenzeller@fh-hagenberg.at Hagenberg, 14. Oktober 2003 Zusammenfassung Dieses Dokument stellt eine Anleitung zur Verwendung von eingesetzten

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung?

Welches ist die Zustandscodierung mit der schnellsten und/oder kostengünstigsten Lösung? 6 Zustandscodierung Bisher sind Zustandscodierungen ohne weitere Diskussion van alternativen und deren Auswirkung auf den Entwurf genutzt worden. In einem Automaten mit den vier Zuständen S0, S1, S2, S3,

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Anleitung zu ChipScope Pro

Anleitung zu ChipScope Pro Anleitung zu ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf dem Spartan III Baustein. 1.1 Erstellen eines Logic Analysator Kerns

Mehr

6 FPGA-Designflow und Implementierungsparameter

6 FPGA-Designflow und Implementierungsparameter 6 FPGA-Designflow und Implementierungsparameter 6.1 Projekterstellung mit dem ISE 4.x Xilinx Project Navigator Mit dem Menue Project werden u ber Add Source die VHDL-Quellen in das erstellte Projekt eingebunden.

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

Einführung in Xilinx Webpack ISE 10.1

Einführung in Xilinx Webpack ISE 10.1 Einführung in Xilinx Webpack ISE 10.1 Diese Version beschreibt sowohl die Benutzung des Spartan2 als auch des Spartan3 Version Oktober 2010 Urs Graf 1 Installation... 3 2 Was ist das Webpack?... 4 2.1

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf 15. März 2005 Stefan Reichör HWE- 2005- Slides1.tex (23. März 2005) Allgemeines Aktuelle Informationen auf der Homepage: http://riic.xsteve.at/hwe2005/index.html Klausurtermin: 6.

Mehr

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation Willkommen zur Präsentation Modulare Grafische Programmierung (MGP) von FPGAs Dr.-Ing. J. Pospiech AVT GmbH Ilmenau Am Hammergrund 1 98693 Ilmenau Tel: +49 (0)3677 / 64 79 0 Fax: +49 (0)3677 / 64 79 69

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Von SystemC zum FPGA in 7 Schritten Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Bremen. Mirko Kruse 21. November 2004 Inhalt 1 Einleitung...1 2 Benötigte Software...1

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

Digitaltechnik. 2 Technologie. Revision 1.05

Digitaltechnik. 2 Technologie. Revision 1.05 Digitaltechnik 2 Technologie A Revision.05 Abstrakte Schalter Schalter in Hardware Integrierte Schaltkreise Physikalische Aspekte Latches, Flipflops und Clocks Field-Programmable Gate Arrays (FPGAs) Logikgatter

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Linux auf dem Nios II Softcore Prozessor

Linux auf dem Nios II Softcore Prozessor Linux auf dem Nios II Softcore Prozessor Tobias Klauser Institute of Embedded Systems Zürcher Hochschule für Angewandte Wissenschaften 30. August 2011 Tobias Klauser (InES)

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Prozessoren in Programmierbarer Logik

Prozessoren in Programmierbarer Logik Bahne Carstens Prozessoren in Programmierbarer Logik Inhalt Prozessoren in Programmierbarer Logik...1 Inhalt...1 Vorteile...1 Die Prozessor-Lösungen der Größe nach sortiert:...2 PicoBlaze...2 MicroBlaze...3

Mehr

Smart Metering: Entwicklung eines intelligenten Stromzählers

Smart Metering: Entwicklung eines intelligenten Stromzählers Smart Metering: Entwicklung eines intelligenten Stromzählers Boppard, Echtzeit 2010 Steffen Mauch Dirk Benyoucef 19. Nov. 2010 DCSP-Lab Gliederung 1 Kontext 2 Arbeit 3 Ergebnisse / Bewertung 4 Zusammenfassung

Mehr

Sequentielle Logiksysteme

Sequentielle Logiksysteme Fachbereich: Embedded Systems Themengebiet: Computerarchitektur Sequentielle Logiksysteme Version 2.2, August 2007 Peter Balog Inhaltsverzeichnis 0. Übersicht... 3 0.1. Lehrziele... 3 0.2. Lehrinhalt...

Mehr

Modernes Schaltungsdesign. FPGA Praktikum

Modernes Schaltungsdesign. FPGA Praktikum Modernes Schaltungsdesign FPGA Praktikum am II. Physikalischen Institut Justus-Liebig-Universität Gießen Stand 17-11-2004 5 Einleitung Das Praktikum beginnt mit einer Einführungsvorlesung. Die Themen dieser

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation

Anleitung. Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4. Designflow. 1. Project Configuration Folder/Sources. 2. Functional Simulation Anleitung Mentor Graphics ModelSim SE 6.3j XILINX ISE 12.4 Designflow ModelSim ISE (XILINX) 1. Project Configuration Folder/Sources 2. Functional Simulation 3.1 Project Configuration Folder/Device/Sources

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Anleitung zu ISE ChipScope Pro

Anleitung zu ISE ChipScope Pro Anleitung zu ISE ChipScope Pro Das von Xilinx gelieferte Tool ChipScope Pro erlaubt die Implementierung eines Logic Analysator Kerns auf einem FPGA von Spartan III an aufwärts.. Chipscope Ablauf Bild :

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Effizienz im Vor-Ort-Service

Effizienz im Vor-Ort-Service Installation: Anleitung SatWork Integrierte Auftragsabwicklung & -Disposition Februar 2012 Disposition & Auftragsabwicklung Effizienz im Vor-Ort-Service Disclaimer Vertraulichkeit Der Inhalt dieses Dokuments

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2011

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2011 Praktikum Grundlagen von Hardwaresystemen Sommersemester 2011 Versuch 3: Flipflops, synchrone sequentielle Schaltungen 6 Mai 2011 Fachbereich 12: Informatik und Mathematik Institut für Informatik Professur

Mehr

Fakultät für Informatik Informatik 12. technische universität dortmund. FPGA-Programming. P. Marwedel Informatik 12, U. Dortmund

Fakultät für Informatik Informatik 12. technische universität dortmund. FPGA-Programming. P. Marwedel Informatik 12, U. Dortmund FPGA-Programming P. Marwedel Informatik 2, U. Dortmund Fakultät für Informatik Informatik 2 Joule Courtesy: Philips Hugo De Man, IMEC, 27 Importance of Energy Efficiency IPE=Inherent power efficiency AmI=Ambient

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Car on a Chip: Neue Steuergeräte-Architekturen mit Systems-on-Chip im Automobilbereich. http://ess.cs.uni-dortmund.de/de/teaching/pgs/coach/

Car on a Chip: Neue Steuergeräte-Architekturen mit Systems-on-Chip im Automobilbereich. http://ess.cs.uni-dortmund.de/de/teaching/pgs/coach/ CoaCh Car on a Chip: Neue Steuergeräte-Architekturen mit Systems-on-Chip im Automobilbereich Olaf Spinczyk Horst Schirmeier Jochen Streicher Michael Engel Lehrstuhl XII AG Eingebettete Systemsoftware http://ess.cs.uni-dortmund.de/de/teaching/pgs/coach/

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment

Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment Eine elektronische Schaltung von der Idee bis zum Einbau am Experiment H. Leich: Einführung Schaltungsentwicklung Layout-Bearbeitung W. Philipp Technologische Umsetzung 6/14/2005 Technical seminar June

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Nachdem Sie die Datei (z.b. t330usbflashupdate.exe) heruntergeladen haben, führen Sie bitte einen Doppelklick mit der linken Maustaste darauf aus:

Nachdem Sie die Datei (z.b. t330usbflashupdate.exe) heruntergeladen haben, führen Sie bitte einen Doppelklick mit der linken Maustaste darauf aus: Deutsch 1.0 Vorbereitung für das Firmwareupdate Vergewissern Sie sich, dass Sie den USB-Treiber für Ihr Gerät installiert haben. Diesen können Sie auf unserer Internetseite unter www.testo.de downloaden.

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

FPGA-Projektentwicklung mit Quartus

FPGA-Projektentwicklung mit Quartus FPGA-Projektentwicklung mit Quartus Von Paul Goossens Schritt für Schritt zum Ziel Das Einarbeiten in neue Software erfordert stets einige Zeit und Übung. In diesem Dokument geben wir Newcomern Hilfestellung

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str.

CPLDs. Vom Platinenlayout zum ersten Projekt. Jakob Holderbaum. Erstellt am 9. August 2008. Berufskolleg Olsberg Paul-Oeventrop Str. CPLDs Vom Platinenlayout zum ersten Projekt Jakob Holderbaum Erstellt am 9. August 2008 Berufskolleg Olsberg Paul-Oeventrop Str. 7 59939 Olsberg Inhaltsverzeichnis 1 Inhaltsverzeichnis 1 Vorwort 3 2 Programmierbare

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA)

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Rudolf Gierlinger National Instruments, Österreich AGENDA Teil 1: Advanced NI-DAQmx Datenerfassungsmöglichkeiten Konfiguration

Mehr

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG Fakultät Informatik, Inst. für Tech. Informatik, Prof. für VLSI-Entwurfssysteme, Diagnostik und Architektur Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme Kolloquium zum Mastermodul

Mehr

Saia PG5 Starter Training Saia PG5 Core und Fupla. Daniel Ernst DE02 2013-02-25

Saia PG5 Starter Training Saia PG5 Core und Fupla. Daniel Ernst DE02 2013-02-25 Saia PG5 Starter Training Saia PG5 Core und Fupla Daniel Ernst DE02 2013-02-25 Einführung Das Licht im Keller soll eingeschaltet werden wenn ein Schalter gedrückt wird. Zusätzlich soll das Licht auch über

Mehr

Hardware Synthese mit VHDL

Hardware Synthese mit VHDL Hardware Synthese mit VHDL Thomas Schanz, Christoph Tenzer IAAT - Universität Tübingen September 2004 Vorwort Dieses Dokument entstand am Rande der wissenschaftlichen Arbeit der Verfasser am IAAT der

Mehr

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden

Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Konfigurieren eines HHR Gerät, um es über eine CBX800 an Profibus anzubinden Benötigte Hardware: - CBX 800 - BM3x0 Profibus Interface-Modul - Handscanner + Kabel CAB 509/512 1. Das HHR Gerät als RS232

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA

Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Fachbereich 4: Institut für Informatik Implementierung einer 4-Bit MiniCPU in VHDL auf einem FPGA Studienarbeit im Studiengang Informatik vorgelegt von Christopher Israel Marcel Jakobs 205110288 204210335

Mehr

zum NEMO-Anwenderforum Industrielle Bildverarbeitung am 03.04.2007 in Dresden

zum NEMO-Anwenderforum Industrielle Bildverarbeitung am 03.04.2007 in Dresden Vortrag zum NEMO-Anwenderforum Industrielle Bildverarbeitung am 03.04.2007 in Dresden Umsetzung leistungsfähiger Algorithmen der industriellen Bildverarbeitung in FPGAs Dr.-Ing. J. Pospiech, AVT GmbH Agenda

Mehr

Extracting Business Rules from PL/SQL-Code

Extracting Business Rules from PL/SQL-Code Extracting Business Rules from PL/SQL-Code Version 7, 13.07.03 Michael Rabben Knowledge Engineer Semantec GmbH, Germany Why? Where are the business rules? Business Rules are already hidden as logic in

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich DFG Mini Workshop Device Treiber für rekonfigurierbare Rechensysteme HW-ICAP API zur Anwendung der Read-, Modify-, Writeback-Methode für Xilinx Virtex-II FPGAs 8. 9. Dezember TU München Michael Hübner

Mehr

11: Echtzeitbetriebssystem ucos-ii

11: Echtzeitbetriebssystem ucos-ii 11: Echtzeitbetriebssystem ucos-ii Sie lernen anhand aufeinander aufbauender Übungen, welche Möglichkeiten ein Echtzeitbetriebssystem wie das ucosii bietet und wie sich damit MC-Applikationen realisieren

Mehr

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002

Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002 Andreas König Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz Wintersemester 2001/2002 Andreas König Folie 9-1 Rekapitulierung zu Kapitel 8 Erweiterung der Betrachtung

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Digitaltechnik SS2013. Praktikum Digitaltechnik

Digitaltechnik SS2013. Praktikum Digitaltechnik Praktikum Digitaltechnik Inhalt Praktikum Digitaltechnik... 1 Durchführung... 1 Versuch 01: CMOS-Transistoren als Logikgatter... 2 1.1 Transferkennlinie U out (U in ) eines Inverters... 2 1.2 Verzögerung

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim Methoden, Werkzeuge und Architekturen zum Entwurf und zur Realisierung von Signal- und Bildverarbeitungsalgorithmen für die Umsetzung in R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim,

Mehr

Impulse C. Seminar Ausgewählte Themen in Hardwareentwurf und Optik. Steffen Liebscher, HWS 2009/2010. Steffen Liebscher

Impulse C. Seminar Ausgewählte Themen in Hardwareentwurf und Optik. Steffen Liebscher, HWS 2009/2010. Steffen Liebscher Impulse C Seminar Ausgewählte Themen in Hardwareentwurf und Optik, HWS 2009/2010 Inhalt Was ist Impulse C? Anwendungsgebiete Impulse C in der Praxis Beispiel und Benchmark Ausblick Zusammenfassung 2 Was

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

- Messdaten herunterladen - Diagnosis and Analysis of measuring data. - Download of measuring data

- Messdaten herunterladen - Diagnosis and Analysis of measuring data. - Download of measuring data Smart Utility Software The SmartUtility offers the following functionalities: - Search of SmartCheck within the network - Administration of the SmartCheck Software zur Administration von FAG SmartCheck

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Non-Standard Rechner

Non-Standard Rechner 12 Non-Standard Rechner Peter Marwedel Informatik 12 TU Dortmund 2014 年 04 月 21 日 Diese Folien enthalten Graphiken mit Nutzungseinschränkungen. Das Kopieren der Graphiken ist im Allgemeinen nicht erlaubt.

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr