Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Größe: px
Ab Seite anzeigen:

Download "Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA"

Transkript

1 Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland Seite 1

2 ÜBERSICHT Allgemeines zum Projekt Herausforderungen auf Register-Transfer-Level Erfahrungen mit den Mentor Tools Zusammenfassung, Aussicht Seite 2

3 ÜBERSICHT Allgemeines zum Projekt Herausforderungen auf Register-Transfer Transfer-Level Erfahrungen mit den Mentor Tools Zusammenfassung, Aussicht Seite 3

4 DIE PROJEKTIDEE Specifications Total bandwidth 1 GHz Number of frequency channels Channel bandwidth khz Online integration time for spectrum output minimum 2 ms maximum without loss 4.3 s maximum 19.5 h ADC sampling 8 bits Output 32 bits Window symmetrical, 9 bit Hardware Sampler: Acqiris AC GS/s FPGA: Xilinx Virtex 2Pro, XC2VP70-FF Logic Cells Embedded Multiplier 18x18 (<5ns) Block RAM 18Kbit (5904 Kbits) PowerPC Hard Macro (IBM Power PC405) 2 - MGT (Rocket IO Serial Transceivers) 12 Seite 4

5 DAS SYSTEM / DAS FPGA Heterodyne Receiver ARGOS rf if RS485 FPU control unit, PSU Spectrometer controller Standard PC Win XP Seite 5 Power supply interface unit CC105 Ethernet RS485 Data Acquisition Interface Input Structure Radix 4 Pipeline Output Structure Local Bus Interface (PCI) 5m parabola FPU Sampler FFT-analyzer AC240 RS232 Antenna controller Standard PC Win XP PCI expansion FPGA: Xilinx XC2V70 User Block: 32'768-Punkt-FFT

6 DIE IMPLEMENTATION System Architektur Matlab Algorithmus-Modell Matlab Architektur-Modell (full-precision) Matlab Architektur-Modell (bit-true) VHDL RTL-Modell (bit-true) FPGA auf Data Acquisition Board Seite 6

7 ÜBERSICHT Allgemeines zum Projekt Herausforderungen auf Register-Transfer-Level Erfahrungen mit den Mentor Tools Zusammenfassung, Aussicht Seite 7

8 MAXIMALE PERFORMANCE? Proberuns: mult: F max = 251 MHz add/sub: F max = 286 MHz MSB LSB FF1 mult FF2 add/sub calculation time = critical path (potential for optimization) FF3 Seite 8

9 TRADE-OFF SPEED vs. COMPLEXITY Complexity Speed: 125 MHz am Eingang 16 Kanäle à 8 bit = 2 GS/s 133 MHz am Ausgang 4 x PCI-Clock (32 bit) Speed XC2VP70 FFTA Complexity: Multipliers 18x BlockRAM à 18kbit 216 Distributed RAM à 16x1 192 BlockMultiplier 18x18 RAM blocks 18kbit Distr. RAM kbit IOs 996 Seite 9

10 PIPELINING : RADIX-4 BUTTERFLY RAM Flipflop zwischen syn. RAM und syn. Multiplier RAM IN0 IN1 IN2 IN3 RAM j +/- +/- +/- +/- +/- +/- +/- +/- x x Flipflop nach jeder arithmetischen Operation x OUT0 Complex OUT1 Multiplier! OUT2 OUT3 Seite 10

11 PIPELINING : COMPLEX-MULT MSB FF mult add/sub FF 114 MHz LSB calculation time MSB LSB MSB LSB MULT18x18 FF mult FF calculation time MULT18X18S FF mult FF calculation time add/sub add/sub FF FF 143 MHz 168 MHz Seite 11

12 ÜBERSICHT Allgemeines zum Projekt Herausforderungen auf Register-Transfer Transfer-Level Erfahrungen mit den Mentor Tools Zusammenfassung, Aussicht Seite 12

13 ERFAHRUNGEN (ARCHITEKTUR / RTL-DESIGN) Wenn BlockRAMs als 512x36bit konfiguriert sind, kann der benachbarte Multiplier wegen Routing-Problemen nicht verwendet werden. Routing beeinflusst die Performance enorm Viele Zwischenregister (Pipeline) Mehrere dezentrale statt einem zentralen RAM- Adresszähler verbessern das Routing (Replication) Seite 13

14 ERFAHRUNGEN (PRECISION RTL) Standard-Einstellung führt zu guten / den besten Resultaten (Hierarchy = Auto) Multiplier-Beschreibung in VHDL RTL: mit asynchronem Reset MULT18X18 mit synchronem Reset MULT18X18S STRUCT: gem. Xilinx Application Note 636 Seite 14

15 ERFAHRUNGEN (PRECISION PHYSICAL) Bietet gute Visualisierungsund Analysehilfen Default-Einstellung führt zu 3% schnellerer Netzliste Manuelles Remapping wegen Komplexität und hoher Chip- Ausnutzung nicht praktikabel nur im Notfall (Appnotes: Placement Reuse Flow in Precision Physical Synthesis Helps Engineering Change Management) Seite 15

16 ZAHLEN, HINTERGRÜNDE Rechenlaufzeiten in Stunden für RTL-Synthese / Place&Route / Physical-Synthese Sunblade 1000, 1 GB RAM: 1h / 17h / 1h PC 3066/533, 1M Cache, 4GB RAM noch unbekannt XPower: Toggle-Rate 50%: 19 Watt CAE Design-Umgebung HDL Designer a ModelSim 5.8c Precision Physical a, 2004b Beta (Build 47) Xilinx ISE 6.2i Seite 16

17 ÜBERSICHT Allgemeines zum Projekt Herausforderungen auf Register-Transfer Transfer-Level Erfahrungen mit den Mentor Tools Zusammenfassung, Aussicht Seite 17

18 ZUSAMMENFASSUNG, AUSSICHT 32'768 Punkt FFT geht an die Grenzen, was mit einem Virtex-2 Pro realisiert werden kann. Neue Möglichkeiten mit Virtex-4? XC4VSX55 65'536-Punkt-FFT? Doppelte Ressourcen Doppelte Taktfrequenz Logic Cells Total Block RAM (kbits) XtremeDSP Slices Max Select I/O 55,296 5, Seite 18

19 FRAGEN / KONTAKT +41 (56) (56) info@zma.ch Zentrum für Mikroelektronik Aargau Steinackerstrasse 1 CH-5210 Windisch Seite 19

Messsysteme für den SwissFEL

Messsysteme für den SwissFEL Messsysteme für den SwissFEL Signalauswertung mit Xilinx Virtex-5 FPGAs Embedded Computing Conference 2011 Christa Zimmerli Masterstudentin MSE Wissenschaftliche Assistentin christa.zimmerli@fhnw.ch Institut

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein:

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: 2. Client (WEP / WPA / WPA2) 2.1 Einleitung Im Folgenden wird die Konfiguration des Client Modus gezeigt. Der Access Point baut stellvertretend für die Computer im Netzwerk eine Wireless Verbindung als

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware.

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware. Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA Implementierung eines -Stacks in Hardware Dresden, Gliederung 1. Aufgabenstellung 2. Überblick 1. Allgemein 2. MAC 3. IP

Mehr

Embedded Linux für SoC Applikationen

Embedded Linux für SoC Applikationen Mitglied der Helmholtz-Gemeinschaft Embedded Linux für SoC Applikationen Beispielkonfiguration Virtex4 FX12 23. März 2009 Georg Schardt Embedded Linux für SoC Applikationen Modulaufbau Entwicklungsumgebung

Mehr

SOPC basierendes Datenerfassungsmodul für das Auger Engineering Radio Array (AERA)

SOPC basierendes Datenerfassungsmodul für das Auger Engineering Radio Array (AERA) SOPC basierendes Datenerfassungsmodul für das Auger Engineering Radio Array (AERA) M. Balzer, D. Bormann, A. Herth, S. Menshikov, C. Rühle, M. Scherer, A. Schmidt, H. Gemmeke KIT University of the State

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

Entwicklung mit Xilinx-FPGAs

Entwicklung mit Xilinx-FPGAs Entwicklung mit Xilinx-FPGAs FZJ - ZEL - Abteilung für Experimentsysteme und Kommunikationstechnik (Harald Kleines) Motivation Technologie Entwicklungsprozeß DAQ in der Hadronenphysik Projekte im FZJ mit

Mehr

miditech 4merge 4-fach MIDI Merger mit :

miditech 4merge 4-fach MIDI Merger mit : miditech 4merge 4-fach MIDI Merger mit : 4 x MIDI Input Port, 4 LEDs für MIDI In Signale 1 x MIDI Output Port MIDI USB Port, auch für USB Power Adapter Power LED und LOGO LEDs Hochwertiges Aluminium Gehäuse

Mehr

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Dipl.-Ing. (FH) Christoph Landmann, M.Sc. Regional Product Engineer Automated Test National Instruments Germany GmbH Agenda Was ist Peer-To-Peer

Mehr

Implementation des SIS18 BPM Designs

Implementation des SIS18 BPM Designs Implementation des SIS8 BPM Designs Vortrag zum SD-Gruppenseminar am 4.. Von Kevin Lang Überblick Upgrade des BPM System am SIS8 Sonden und Elektronik Was wird ersetzt, bzw. kommt neu dazu Libera Inside

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

High Performance Datenerfassung Tests am Beispiel WEGA

High Performance Datenerfassung Tests am Beispiel WEGA High Performance Datenerfassung am Beispiel WEGA Langmuir 5. Mai 2010 High Performance Datenerfassung am Beispiel WEGA Hardware Timing Netzwerk Hardware zwei identische Systeme bestehend aus Controller

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series Inhalt 1. Einführung... 2 2. Anforderungen... 2 3. Komponenten... 3 3.1. PXI 7952R... 3 3.2. Fiber Optical Interface Module

Mehr

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM GinLink-News Feldbus-Controller GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM Technische Daten GIN-SAM3 610736800 Schnittstellen 2

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Optionale Übung Hardware Konfiguration mit HCD

Optionale Übung Hardware Konfiguration mit HCD Optionale Übung Hardware Konfiguration mit HCD Erstellen Sie eine Hardware Konfiguration via HCD für folgende Konstellation. 2064-106 in Basic Mode - CPCA Type CHPID BL BL CNC CNC - - - CNC CNC CNC BL

Mehr

Implementierung eines Software Defined Radio auf einem FPGA

Implementierung eines Software Defined Radio auf einem FPGA Technik Auguste Feukam-Chindji Implementierung eines Software Defined Radio auf einem FPGA Diplomarbeit Fachhochschule Köln Cologne University of Applied Sciences 07 Fakultät für Informations-, Medien-

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich DFG Mini Workshop Device Treiber für rekonfigurierbare Rechensysteme HW-ICAP API zur Anwendung der Read-, Modify-, Writeback-Methode für Xilinx Virtex-II FPGAs 8. 9. Dezember TU München Michael Hübner

Mehr

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2)

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Kurzbeschreibung: Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Beim HSI-88-USB handelt es sich um ein Interface vom s88-rückmeldebus zum Universal Serial Bus

Mehr

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Embedded Prozessoren vs. X86er Derivate DSP vs. FPGA vs. GPP wer ist geeigneter

Mehr

Sequentielle Schaltungen (10a)

Sequentielle Schaltungen (10a) equentielle chaltungen (a) chaltung des -FF: Master lave (lock) Vorteil: das Problem mit dem instabilen Zustand ist beseitigt Nachteil: längere (verzögerte) chaltungsdurchlaufzeit + höherer Aufwand (2

Mehr

CAIRO if knowledge matters

CAIRO if knowledge matters CAIRO if knowledge matters Monitoring mit Microsoft Operations Manager 2007 Ein Überblick Johann Marin, Inhalt if knowledge matters Warum Monitoring? Was soll überwacht werden? SCOM Key Features Das SCOM

Mehr

Pulse Pattern Controller

Pulse Pattern Controller PPC-1 and PPC-2 Ansteuerung von Hochstrom Puls-Generatoren programmierbares Pulsmuster schnelle Aufzeichnung von Pulsspannung und Pulsstrom Einbindung zusätzlicher Messsensoren flexibler Einsatz durch

Mehr

OSEK-OS. Oliver Botschkowski. oliver.botschkowski@udo.edu. PG AutoLab Seminarwochenende 21.-23. Oktober 2007. AutoLab

OSEK-OS. Oliver Botschkowski. oliver.botschkowski@udo.edu. PG AutoLab Seminarwochenende 21.-23. Oktober 2007. AutoLab OSEK-OS Oliver Botschkowski oliver.botschkowski@udo.edu PG Seminarwochenende 21.-23. Oktober 2007 1 Überblick Einleitung Motivation Ziele Vorteile Einführung in OSEK-OS Architektur Task Management Interrupt

Mehr

carekundenforum 2013 Virtualisieren spart Geld

carekundenforum 2013 Virtualisieren spart Geld carekundenforum 2013 Virtualisieren spart Geld Seite 1 12./13.11.2013 carekundenforum 2013 F+L System AG, CH-Altstätten ASC Automotive Solution Center AG, D- Böblingen Virtualisieren spart Geld Herzlich

Mehr

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 ECC 2009 Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 Wohin geht die Zukunft? IME, 2004 Institut für Mikroelektronik, Steinackerstrasse 1, 5210 Windisch 25.05.2009 2 Übersicht

Mehr

Vortrag zur Diplomarbeit

Vortrag zur Diplomarbeit Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zur Diplomarbeit Entwurf und Implementierung eines zuverlässigen verbindungsorientierten Transportprotokolls für

Mehr

Das Unternehmen. Gründung: 2010. Elektrotechnik, Industrieelektronik

Das Unternehmen. Gründung: 2010. Elektrotechnik, Industrieelektronik Das Unternehmen Gründung: 2010 Branche: Gründer: Elektrotechnik, Industrieelektronik Juri Martinevski, erfolgreiches BWL Studium mit Schwerpunkt Marketing Kompetenzbereiche: Marketing, Kommunikation, Vertrieb.

Mehr

SDR# Software Defined Radio

SDR# Software Defined Radio SDR# Software Defined Radio Beispiel von DVB T USB Stick und SDR Receiver Frequenz 24 1700MHz Frequenz 0,1 2000MHz, mit Down Converter für KW Treiber und Software http://sdrsharp.com/#download 1 Nach dem

Mehr

Seminar Informationsintegration und Informationsqualität. Dragan Sunjka. 30. Juni 2006

Seminar Informationsintegration und Informationsqualität. Dragan Sunjka. 30. Juni 2006 Seminar Informationsintegration und Informationsqualität TU Kaiserslautern 30. Juni 2006 Gliederung Autonomie Verteilung führt zu Autonomie... Intra-Organisation: historisch Inter-Organisation: Internet

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

pegasus gmbh WebEX Trainings Center Handbuch für Teilnehmer

pegasus gmbh WebEX Trainings Center Handbuch für Teilnehmer pegasus gmbh WebEX Trainings Center Handbuch für Teilnehmer Inhalt: 1. Systemvoraussetzungen 2. Login 3. Konfiguration der Software 4. Teilnahme 5. Einrichtung Headset / PC Lautsprecher pegasus gmbh -

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Summer Workshop Mehr Innovationskraft mit Change Management

Summer Workshop Mehr Innovationskraft mit Change Management Your Partner in Change. Your Partner in Innovation. Summer Workshop Mehr Innovationskraft mit Change Management Praxisbeispiel: Innovation im Tagesgeschäft etablieren www.integratedconsulting.at 1 Ausgangslage,

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Till Fischer 03.11.2011 FZI Forschungszentrum Informatik Embedded Systems & Sensors Engineering (ESS)

Mehr

Rapide An Event-Based Architecture Definition Language

Rapide An Event-Based Architecture Definition Language Rapide An Event-Based Architecture Definition Language Ralf Bettentrup Seminar: Architekturbeschreibungssprachen Wozu Rapide? Computer mit Modem Provider Broker Client Broker PC Prov 1 Client 1 RS-232

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

Behandlungsunterstützung mittels App. Lars Erdmann, Partner, Q_PERIOR AG SAP Mobile Forum, 17. April 2013

Behandlungsunterstützung mittels App. Lars Erdmann, Partner, Q_PERIOR AG SAP Mobile Forum, 17. April 2013 Behandlungsunterstützung mittels App Lars Erdmann, Partner, Q_PERIOR AG SAP Mobile Forum, 17. April 2013 Agenda Hintergrund Warum eine mobile Lösung? Lösungsansatz Was sind die Vorteile? Technische Umsetzung

Mehr

Grundkurs Routing im Internet mit Übungen

Grundkurs Routing im Internet mit Übungen Grundkurs Routing im Internet mit Übungen Falko Dressler, Ursula Hilgers {Dressler,Hilgers}@rrze.uni-erlangen.de Regionales Rechenzentrum der FAU 1 Tag 4 Router & Firewalls IP-Verbindungen Aufbau von IP

Mehr

Software Defined Networking. und seine Anwendbarkeit für die Steuerung von Videodaten im Internet

Software Defined Networking. und seine Anwendbarkeit für die Steuerung von Videodaten im Internet und seine Anwendbarkeit für die Steuerung von Videodaten im Internet FACHBEREICH FB5 Stefan Königs ISE Seminar 22.10.2012 1 Agenda o Einführung o Software Defined Networking o Ansatz/Prinzip o o Vergleich

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

E-Mail Management für vitale Geschäftsprozesse

E-Mail Management für vitale Geschäftsprozesse E-Mail Management für vitale Geschäftsprozesse InovoOlution GmbH Hechelwiesenweg 9a D-86919 Utting am Ammersee Telefon: 08806 959 3895 t.schneider@inovoo.com www.inovoo.com Parallelen im Weltgeschehen?

Mehr

Empfindlichkeit und Rauschmaß eines DVB T Sticks

Empfindlichkeit und Rauschmaß eines DVB T Sticks Empfindlichkeit und Rauschmaß eines DVB T Sticks Messung kritischer Spezifikationen eines Salcar Stick DVB T RTL 2832U&R820T SDR Salcar Stick, oder ähnlich Blockschaltbild des R820T Tuners Aufbau für Empfindlichkeitsmessung:

Mehr

NEC USB 2.0. Version 1.0

NEC USB 2.0. Version 1.0 NEC USB 2.0 Version 1.0 Inhaltsverzeichnis 1.0 Leistungsmerkmale der USB-Anschlüsse.P.3 2.0 Systemvoraussetzung.P.3 3.0 Treibereinschränkungen..P.3 4.0 Treiberinstallation (Windows XP).P.4 5.0 Treiberinstallation

Mehr

Media Oriented Systems Transport Die MOST-Systembus Architektur

Media Oriented Systems Transport Die MOST-Systembus Architektur Fakultät Informatik - Institute für Technische Informatik - VLSI-Entwurfssysteme, Diagnostik und Architekur Die MOST-Systembus Architektur Christian Greth s7277485@mail.inf.tu-dresden.de Dresden, 17. Juni

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Einführung in der NI Plattform für WLAN Test. Ken Tobler, System Engineer National Instruments

Einführung in der NI Plattform für WLAN Test. Ken Tobler, System Engineer National Instruments Einführung in der NI Plattform für WLAN Test Ken Tobler, System Engineer National Instruments Agenda WLAN Test Einführung zur NI Tools Vorteile: Geschwindigkeit Flexibilität Kostenreduzierung Einführung

Mehr

Energieeffiziente Empfänger in Sensornetzwerken

Energieeffiziente Empfänger in Sensornetzwerken Fakultät Informatik, Institut für Angewandte Informatik, Professur für Technische Informationssysteme Energieeffiziente Empfänger in Sensornetzwerken Dresden, 09.01.2012 Motivation Wie kann man alle Geräte

Mehr

E X P E R T E N V O R L A G E

E X P E R T E N V O R L A G E Nullserie 205 Pos. Analysieren und Ausmessen Qualifikationsverfahren Multimediaelektroniker/in EFZ Teilprüfung E X P E R T E N V O R L A G E Zeit 90 Minuten für 2 Aufgaben Notenskala Maximale Punktezahl:

Mehr

Clearspeed. Matthias Kunst.

Clearspeed. Matthias Kunst. Clearspeed Matthias Kunst MatthiasKunst@gmx.de 1 Inhalt Einführung Struktur und Leistung Komponenten CSX600 Prozessorarchitektur Anwendungsbereich und Systemintegration Ausblick und Fazit 2 Einleitung

Mehr

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Frederik Grüll, Udo Kebschull Infrastruktur und Rechnersysteme in der Informationsverarbeitung Goethe-Universität Frankfurt ZKI-Frühjahrstagung

Mehr

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation Willkommen zur Präsentation Modulare Grafische Programmierung (MGP) von FPGAs Dr.-Ing. J. Pospiech AVT GmbH Ilmenau Am Hammergrund 1 98693 Ilmenau Tel: +49 (0)3677 / 64 79 0 Fax: +49 (0)3677 / 64 79 69

Mehr

Windows Explorer Das unbekannte Tool. Compi-Treff vom 19. September 2014 Thomas Sigg

Windows Explorer Das unbekannte Tool. Compi-Treff vom 19. September 2014 Thomas Sigg Windows Explorer Das unbekannte Tool Thomas Sigg Das Wort Explorer aus dem Englischen heisst eigentlich Auskundschafter, Sucher Der Windows Explorer darf nicht mit dem Internet Explorer verwechselt werden.

Mehr

USB 2.0 PCI-Karte mit NEC CHIPSATZ

USB 2.0 PCI-Karte mit NEC CHIPSATZ Handbuch USB 2.0 PCI-Karte mit NEC CHIPSATZ deutsch Handbuch_Seite 2 Handbuch_Seite 3 Inhalt 1.0 Eigenschaften 4 2.0 Systemvoraussetzungen 4 3.0 Hardware Installation 4 4.0 Treiber Installation (Windows

Mehr

Data-S EASY VERSTREUTE ÜBERWACHUNG DER NOTBELEUCHTUNG

Data-S EASY VERSTREUTE ÜBERWACHUNG DER NOTBELEUCHTUNG Abmessungen Dimensions 252x462x99 IP40 Data-S EASY VERSTREUTE ÜBERWACHUNG DER NOTBELEUCHTUNG Das System überwacht korrekten Betrieb der in kleinen und mittelgroßen Objekten der öffentlichen Nutzung installierten

Mehr

Systemanforderungen ab Version 5.31

Systemanforderungen ab Version 5.31 Systemanforderungen ab Version 5.31 Auszug aus BüroWARE Erste Schritte Version 5.4 Generelle Anforderungen SoftENGINE BüroWARE SQL / Pervasive Das Programm kann sowohl auf 32 Bit- als auch auf 64 Bit-en

Mehr

Willkommen. in der Welt der Hütten- und Walzwerks-Technologie. Moderne Automatisierungstopologien mit Echtzeit Ethernet am Beispiel EtherCAT

Willkommen. in der Welt der Hütten- und Walzwerks-Technologie. Moderne Automatisierungstopologien mit Echtzeit Ethernet am Beispiel EtherCAT Willkommen in der Welt der Hütten- und Walzwerks-Technologie Moderne Automatisierungstopologien mit Echtzeit Ethernet am Beispiel EtherCAT Rückblick: 20 Jahre Feldbus Bis 1989: -Zentrale Automatisierungssysteme

Mehr

WIE ERHÖHT MAN DIE EFFIZIENZ DES BESTEHENDEN RECHENZENTRUMS UM 75% AK Data Center - eco e.v. 1. Dezember 2009

WIE ERHÖHT MAN DIE EFFIZIENZ DES BESTEHENDEN RECHENZENTRUMS UM 75% AK Data Center - eco e.v. 1. Dezember 2009 WIE ERHÖHT MAN DIE EFFIZIENZ DES BESTEHENDEN RECHENZENTRUMS UM 75% AK Data Center - eco e.v. 1. Dezember 2009 HOST EUROPE GROUP Größter Anbieter von standardisierten Managed Hosting Lösungen in Deutschland

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Anleitung zum GUI Version 2.x

Anleitung zum GUI Version 2.x GUI (Graphical User Interface) für PC-DMIS V3.x 4.x Seite 1 von 7 Anleitung zum GUI Version 2.x Einsatzziel des Programms: Über ein grafisches Menü PC-DMIS Messprogramme starten. Empfehlenswert für Anwender

Mehr

Storage Area Networks im Enterprise Bereich

Storage Area Networks im Enterprise Bereich Storage Area Networks im Enterprise Bereich Technologien, Auswahl & Optimierung Fachhochschule Wiesbaden Agenda 1. Was sind Speichernetze? 2. SAN Protokolle und Topologien 3. SAN Design Kriterien 4. Optimierung

Mehr

Praktikum Mikrocomputertechnik

Praktikum Mikrocomputertechnik TPU (Time Processor Unit) Die TPU ist ein Coprozessor für Timingaufgaben, sie arbeitet parallel zum Hauptprozessor (Host), um zum Beispiel PWM-Signale zu generieren. Die TPU besitzt eine Reihe festprogrammierter

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

Evaluation des Projektes

Evaluation des Projektes AuF im LSB Berlin Evaluation des Projektes Führungs-Akademie des DOSB /// Willy-Brandt-Platz 2 /// 50679 Köln /// Tel 0221/221 220 13 /// Fax 0221/221 220 14 /// info@fuehrungs-akademie.de /// www.fuehrungs-akademie.de

Mehr

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7 Pflichtenheft Projektteam Rexford Osei - Frey Michael Weichert Thomas Thutewohl Pflichtenheft Seite 1 von 7 Inhaltsverzeichnis Allgemeines Seite 3 Projektteam, Auftraggeber, Betreuer Projektbeschreibung

Mehr

SIMULATION. example Data Transfer (XDT) Protocol. entwickelt im Rahmen der Bachelor-Arbeit. von. Tobias Weimann. User-Manual

SIMULATION. example Data Transfer (XDT) Protocol. entwickelt im Rahmen der Bachelor-Arbeit. von. Tobias Weimann. User-Manual SIMULATION example Data Transfer (XDT) Protocol entwickelt im Rahmen der Bachelor-Arbeit von Tobias Weimann User-Manual Copyright 2004 Lehrstuhl Rechnernetze und Kommunikationssysteme Institut für Informatik

Mehr

Webbasierte Leittechnik vom Gerät zum System Dr. Michael Unkelbach, Energy Sector, PG LDE1

Webbasierte Leittechnik vom Gerät zum System Dr. Michael Unkelbach, Energy Sector, PG LDE1 WEBmation Presseworkshop 20022008 Siemens Power Plant Automation Webbasierte Leittechnik vom Gerät zum System Dr Michael Unkelbach, Energy Sector, PG LDE1 Generationen der Leittechnik Vier Generationen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

Wirtschaftsinformatik: Information Engineering & Management (INF/EM) Variante 1 (gültig ab WS 2008/09)

Wirtschaftsinformatik: Information Engineering & Management (INF/EM) Variante 1 (gültig ab WS 2008/09) Wirtschaftsinformatik: Information Engineering & Management (INF/EM) Variante 1 (gültig ab WS 2008/09) 2. Studienabschnitt Zuordnung von zum Wahlfach Wirtschaftsinformatik: Information Engineering & Management

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Scaling Scrum Nexus professionell umsetzen

Scaling Scrum Nexus professionell umsetzen Scaling Scrum Nexus professionell umsetzen Frankfurter Entwicklertag 2016 Fahd Al-Fatish Agile Coach, Professional Scrum Trainer Dr. Reinhard Schmitt Organisationsberater und Trainer Skalierung bedeutet

Mehr

Speichernetze (Storage Area Networks, SANs)

Speichernetze (Storage Area Networks, SANs) Speichernetze (Storage Area Networks, SANs) Hochschule für Zürich MAS Informatik, Verteilte Systeme 22.9.2010 Outline 1 2 I/O en Prinzipschema serverzentrierte Architektur Disk Disk Disk Disk Disk Disk

Mehr

SANTEC Professional solutions for more security

SANTEC Professional solutions for more security SANTEC Professional solutions for more security Security Applications Network Technology SANTEC HD-CCTV Lösungen Herzlich willkommen! Moderator des Webinars: Lars Diestel CEO Produktmanagement lars.diestel@santec-video.com

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

Leistungsbeschreibung HessenKom SDSL Germany City

Leistungsbeschreibung HessenKom SDSL Germany City Leistungsbeschreibung Die HessenKom GmbH & Co KG (HessenKom) bietet Unternehmen mit einen breitbandigen Internetzugang auf Basis der SHDSL-Technologie. Die Zielgruppe für dieses Produkt sind Unternehmen,

Mehr

DST EINFÜHRUNG IN MRT (V2)

DST EINFÜHRUNG IN MRT (V2) DST EINFÜHRUNG IN MRT (V2) Aufgabe: Reaktionstester 1. Pflichtenheft Taster an -PA0 8 LEDs an PCx LCD-Anzeige für Ergebnis Die LEDs an Port C sollten unerwartet irgendwann angehen! Jetzt wird die Zeit

Mehr

Wie schafft man eine optimale Multiscreen-Experience?

Wie schafft man eine optimale Multiscreen-Experience? 5. Juli 2012 Wie schafft man eine optimale Multiscreen-Experience? 1 1. Die User und ihre Geräte 2. Synchrone Daten 3. Der gleiche Status 2 1. Die unterschiedlichen Geräte Die User und ihre Geräte Zu Hause

Mehr

Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus. ERP-Nr.: 5204183. www.guentner.de. Datenblatt GIOD.1 V_3.0

Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus. ERP-Nr.: 5204183. www.guentner.de. Datenblatt GIOD.1 V_3.0 Datenblatt GIOD.1 Ein-Ausgabe Modul mit CAN-Bus ERP-Nr.: 5204183 www.guentner.de Seite 2 / 10 Inhaltsverzeichnis 1 GIOD.1... 3 1.1 Funktionsbeschreibung... 3 1.2 Anschlüsse...5 1.3 Elektrische Eigenschaften...

Mehr

Produktbeschreibung. MCD Audio Analyzer. Softline. Modline. Funktionen und Merkmale des Produkts. Conline. Boardline. Avidline. Pixline.

Produktbeschreibung. MCD Audio Analyzer. Softline. Modline. Funktionen und Merkmale des Produkts. Conline. Boardline. Avidline. Pixline. Produktbeschreibung Funktionen und Merkmale des Produkts Softline Modline Conline Boardline MCD Audio Analyzer Avidline Pixline Applikation MCD Elektronik GmbH Hoheneichstr. 52 75217 Birkenfeld Telefon

Mehr

Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs

Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs Embedded Computing Conference 2017 Tobias Welti, Dr. M. Rosenthal High Performance Embedded Platforms ZHAW Institute of Embedded Systems

Mehr

Mobile Apps: Von der Entwicklung bis zum Test mit HP Software

Mobile Apps: Von der Entwicklung bis zum Test mit HP Software Mobile Apps: Von der Entwicklung bis zum Test mit HP Software Amir Khan Presales Consultant Software Hewlett-Packard (Schweiz) GmbH HP Invent 2015 Copyright 2015 Hewlett-Packard Development Company, L.P.

Mehr