LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

Größe: px
Ab Seite anzeigen:

Download "LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices"

Transkript

1 Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik Fachgebiet Rechnerarchitektur und Eingebettete Systeme Technische Universität Ilmenau Firmenlogo Gefördert durch die Deutsche Forschungsgemeinschaft DFG im Rahmen des Sonderforschungsbereiches 622.

2 Outline Beispielanwendung: NPMM-200 LabVIEW built-in Lösungen Datenfluss, SCTL, Beschränkungen Erweiterungsmöglichkeiten, µprozessor auf PXI-RIO LiSARD Rechenkern Konzept, Einbettung in Labview Programmentwicklung, Testmöglichkeiten Anpassbarkeit Performancevergleich Zusammenfassung & Ausblick

3 Herausforderung: Datenverarbeitungssystem der NPMM-200 Nanopositioning and Nanomeasuring Machine NPMM-200 Schlüsselparameter: Positionierbereich: 200x200x25 mm³ Auflösung: 0.8 nm 6 Freiheitsgrade DAQ/IO 40 analoge 666⅔ khz 20 analoge 8⅓ khz Gesamtzeit für Regelung: 120µs (8⅓kHz control loop) Low Latency Anforderungen verhindern die Nutzung des RT controllers im kritischen Pfad! Realisierung mit FPGA erforderlich.

4 Herausforderung: Datenverarbeitungssystem der NPMM RT RT 7853R rio R rio0 7853R rio1 Frequency 8.33 khz Control System Sequence Control System DAQ 8 AnalogIn kHz Processing pipeline: Meas. Data 83.3kHz Control DAQ 8 Analog 8 AnalogIn Out 8.3kHz 8.3kHz Mehrere Clock Domains Multi-Rate Computing Interface DIO (16Mhz, 16/16 Bit datawidth) Interface DIO (16Mhz, 32/4 Bit datawidth) Interface DIO (16Mhz, 32 Bit datawidth ) PCI Ethernet Function Data Acquisition Create Measurement Value (MV) MV PreProcessing Data Fusion (Routing) MV PostProcessing Supervisor Trajectory Generator Control Actuation Variable Output Data Logging Zeitschema erfordert Berechnung mit 83⅓ khz (12µs pro Stage) Obwohl Regelung bei 8⅓ khz, ist eine Realisierung mit 83⅓ khz erforderlich! Frequency khz Data Acquisition System RT 7853R rio0 7853R rio1 7854R rio2 7853R rio3 7813R rio4 7853R rio5 7853R rio6 7853R rio Frequency khz

5 Herausforderung: Datenverarbeitungssystem der NPMM-200 Complex processing system: Mehrere Clock Domains Multi-Rate Computing Komplexe Struktur Große Anzahl IOs 3 PXI Chassis 11 PXI-R FPGA Module Low Latency DIO Kommunikation Processing Pipeline Stages 83⅓ khz (12µs pro Stage) Komplexe Berechnungen (rekursive Filter) Fließkomma Arithmetik mit doppelter Genauigkeit FPGA-interne Lösung gesucht!

6 µprozessor Einbindung für PXI-RIO PXI backplane PXI FPGA card FPGA DIO µcontroller Clock/PLL Interrupt MMU µcontroller Core +1 ± * = JTAG Reset IO DAC ADC

7 Anforderungen an DSP-Rechenkern zur Einbindung in LabVIEW Rechenkern als Basis für DSP-Algorithmen Fließkomma-Arithmetik mit einfacher / doppelter Genauigkeit Einfache Einbettung in ein Applikationskonzept Re-Programmierung der Algorithmen ohne erneute FPGA-Compilierung Reuse des Rechenkerns (andere Algorithmen) mit geringem Aufwand. Anpassbarkeit des FPGA-Ressourcenbedarfs an den Einsatzzweck. Testmöglichkeit der Lösungen in LabVIEW. möglichst ausschließliche Realisierung in LabVIEW.

8 LabVIEW built-in Lösungen (FPGA) Labview-Diagramm (Datenfluss) => Abstrakt Beliebig komplexe Funktionen kombinierbar Implizite Synchronisation Reentrant/Non-Reentrant Automatisches Pipelining begrenzt (High Troughput functions) SCTL-Diagramm => VHDL-äquivalant Explizite Synchronisation Parallele Abläufe/ Strukturen Pipelining manuell Benutzerdefinierte Erweiterungen: CLIP HDL-Node / IP-Integration Node Komplexe Funktionen erfordern manuelles Scheduling hoher Aufwand ( µcontroller)

9 Standard µprozessor auf PXI-RIO LabVIEW Modell LabVIEW Model IP Core C Code ASM Code IDE PXI backplane Life-Cycle Modell PXI embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC Software Standard soft-cpu JTAG DIO PC Separate Entwicklungsumgebung Hohe Komplexität des Entwurfsprozesses

10 Einbettung des LiSARD-Core in LabVIEW LabVIEW Modell LabVIEW Model ASM- Code LabVIEW Model PXI backplane Life-Cycle Modell PXI embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC Software LiSARD soft-cpu DIO Entwicklungsumgebung als LabVIEW-Bibliothek Verringerte Komplexität des Entwurfsprozesses

11 Konzept des LiSARD-Rechenkerns - Überblick Program Sync. Input Registers Program Interface Core Pipeline Data Interface Sync. Output Registers Data Struktur: LabVIEW-Arrays als Register für Input und Output Synchronisation mit 2- Draht-Handshake (ähnlich High- Troughput-Functions) Konfiguration durch Initialisierung von Programm & Datenspeicher Debugging

12 Der LiSARD-Rechenkerns im Detail Program Read Interface Instruction Decoder Source1 Source2 ExecuteMode WriteBackOperation Target Input Registers Data Read Interface ALU MUX Output Registers Data Write Interface Instruction Fetch Instruction Decode Operand Fetch Execute Write Back Schlanke VLIW Architektur Auf mehrere ALUs erweiterbar

13 Der LiSARD-Rechenkerns im Detail

14 Programmentwicklung Design Entry Design Entry Dataflow Graph Dataflow Optimization Assembler Source Instruction Scheduling Binary Code Transformation extern LabVIEW-VI Programmentwicklung mittels LabVIEW-Bibliothek Programm- Configuration Während FPGA-Erstellung durch -Initialization-VIs Zur Laufzeit mittels Debug-Interface Optimierung durch externe Werkzeuge möglich

15 Testmöglichkeiten in LabVIEW LabVIEW Modell ASM-Code, Daten,Trace (interaktiv) LabVIEW Modell LabVIEW Modell PXI backplane Testmodell Debug- Interface PXI embedded controller with LabVIEW RT PXI FPGA card ADC DAC Software LiSARD soft-cpu DIO Effektives Debugging für kleine und mittelkomplexe Algorithmen basierend auf Algorithmen-Iteration Erweiterbar auf Debugging der Befehlsschritte für komplexere Algorithmen

16 Variabilität des Rechenkerns Sync. Program Input Registers Program Interface Core Pipeline Data Interface ± * = Sync. Output Registers Data Variationsmöglichkeiten: Operandentyp (SGL/DBL) ALU-Operationen (ADD, MUL, DIV, SQRT, EXP, SIN, COS, Int2Float, Float2Int) Programmspeicher Datenspeicher Konstantenspeicher (optional) Registergröße für Inputs/Outputs

17 Beispiel: Kalman Filter Realisierungsvarianten RT Controller PXI backplane FPGA direkt mit HDL-Nodes PXI backplane Kalman filter PXI Embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC DIO PXI embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC Kalman filter DIO External DSP PXI backplane LiSARD Softcore PXI backplane PXI embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC DIO TI C6713 DSP module DIO Kalman filter PXI embedded controller with LabVIEW RT PXI FPGA card Data acquisition and output ADC DAC Kalman filter soft-cpu DIO

18 Beispiel Performancevergleich Ressourcenauslastung in % Slice registers Slice LUTs FPGA res. min. FPGA spd. max. FPGA w / DSP Softcore Zeit in µs Execution Data transfer FPGA spd. max. FPGA w / DSP RT controller FPGA res. min. Softcore Kalman-Filter als LiSARD-Softcore benötigt weniger Ressourcen als direkte Realisierung mit HDL-Nodes in LabVIEW Bessere Performance als externe Realisierung (RT-Controller, DSP), ähnliche oder bessere als mit HDL-Nodes in LabVIEW

19 Zusammenfassung Prozessnahe Datenverarbeitung auf FPGAs durch LiSARD Rechenkern Rechenleistung des LiSARD mit DSPs vergleichbar Leichte Integration in LabVIEW FPGA Programme Programmentwicklung / Debugging innerhalb von LabVIEW Erhöhung der Integrationsdichte anspruchsvolle Applikationen mit PXI-FPGA Systemen

20 Ausblick & Visionen Programmgenerierung aus LabVIEW-Vis Wizard-Konfiguration / Auto-Konfiguration Direkte Einbindung outside SCTL Unterstützung für Hochsprachen Komfortableres Debugging

21 Vielen Dank! Fragen?

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

High Performance Embedded Processors

High Performance Embedded Processors High Performance Embedded Processors Matthias Schwarz Hardware-Software-Co-Design Universität Erlangen-Nürnberg martin.rustler@e-technik.stud.uni-erlangen.de matthias.schwarz@e-technik.stud.uni-erlangen.de

Mehr

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO

Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Einführung in Peer-To-Peer (P2P) Datenstreaming mit NI FlexRIO Dipl.-Ing. (FH) Christoph Landmann, M.Sc. Regional Product Engineer Automated Test National Instruments Germany GmbH Agenda Was ist Peer-To-Peer

Mehr

CAN-Anwendungen für die Automobilindustrie

CAN-Anwendungen für die Automobilindustrie CAN-Anwendungen für die Automobilindustrie Dipl. Ing. Roland Magolei NI Engineering Germany GmbH roland.magolei@ni.com National Instruments R&D weltweit NI R&D Denmark NI R&D Germany NI R&D Romania NI

Mehr

Entwicklung mit Xilinx-FPGAs

Entwicklung mit Xilinx-FPGAs Entwicklung mit Xilinx-FPGAs FZJ - ZEL - Abteilung für Experimentsysteme und Kommunikationstechnik (Harald Kleines) Motivation Technologie Entwicklungsprozeß DAQ in der Hadronenphysik Projekte im FZJ mit

Mehr

Schnupperkurs. Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von. Dipl. Ing.(FH) Rüdiger Ellmauer. Applications Engineer

Schnupperkurs. Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von. Dipl. Ing.(FH) Rüdiger Ellmauer. Applications Engineer Schnupperkurs Steigerung gder Effizienz bei der Anwendungserstellung mit Hilfe von LabVIEW Toolkits Dipl. Ing.(FH) Rüdiger Ellmauer Applications Engineer Umgebung zur Erstellung von Mess und Automatisierungssoftware

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Military Air Systems

Military Air Systems Trennung von Applikationen unterschiedlicher Kritikalität in der Luftfahrt durch Software en am Beispiel des Real-time Operating Systems PikeOS Dr. Bert Feldmann DGLR Workshop Garching, 09.10.2007 Seite

Mehr

Neue DSP-Hard- und Softwarelösungen für den Einsatz in Mehrkoordinaten- Nanomess- und Positioniersystemen

Neue DSP-Hard- und Softwarelösungen für den Einsatz in Mehrkoordinaten- Nanomess- und Positioniersystemen Neue DSP-Hard- und Softwarelösungen für den Einsatz in Mehrkoordinaten- Nanomess- und Positioniersystemen Christian Uhle, Bernd Däne, Todor Vangelov, Wolfgang Fengler TU Ilmenau, Fachgebiet Rechnerarchitekturen

Mehr

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation Willkommen zur Präsentation Modulare Grafische Programmierung (MGP) von FPGAs Dr.-Ing. J. Pospiech AVT GmbH Ilmenau Am Hammergrund 1 98693 Ilmenau Tel: +49 (0)3677 / 64 79 0 Fax: +49 (0)3677 / 64 79 69

Mehr

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 NetX - Einführung 2008 Jiri Spale, Programmierung in eingebetteten Systemen 2 NetX is... a highly integrated network controller with a new system

Mehr

Realisierung von CI- Regelalgorithmen auf verschiedenen Hardwareplattformen

Realisierung von CI- Regelalgorithmen auf verschiedenen Hardwareplattformen Realisierung von CI- Regelalgorithmen auf verschiedenen Hardwareplattformen Prof.Dr.-Ing. K.-D. Morgeneier FH Jena, FB Elektrotechnik und Informationstechnik www.fh-jena.de Gliederung 2. Einführung 3.

Mehr

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA)

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Rudolf Gierlinger National Instruments, Österreich AGENDA Teil 1: Advanced NI-DAQmx Datenerfassungsmöglichkeiten Konfiguration

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

All Digital Transceiver

All Digital Transceiver All Digital Transceiver Prinzip Digital-Empfänger ADC, Analog Digital Converter ( Analog-Digital-Wandler ) DDC, Digital Down Converter ( Digitaler Abwärtsmischer ) DSP, Digital Signal Processor SDR-14

Mehr

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines

Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Entwurf eines Generators zur Erzeugung von Hard- und Software-Beschreibungen für Bildverarbeitungspipelines Verteidigungsvortrag zur Studienarbeit Ludger Irsig Fraunhofer IIS/EAS Digitale Kameras allgemein

Mehr

Realisierung eines Getriebe- HiL mit VeLoDyn, NI PXI RT- System und NI VeriStand

Realisierung eines Getriebe- HiL mit VeLoDyn, NI PXI RT- System und NI VeriStand Realisierung eines Getriebe- HiL mit VeLoDyn, NI PXI RT- System und NI VeriStand NI-Automotive-Technologietag Benjamin Grote Wolfsburg, 25.05.2011 Innovationen in Serie Inhalt NI-Automotive-Technologietag

Mehr

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n C5000 High Performance Acquisition System Das C5000 System wurde für Messerfassungs- und Auswertungssystem mit sehr hohem Datenaufkommen konzipiert. Typische Applikationen für das C5000 sind große Prüfstände,

Mehr

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series

T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series T est of 1GBit/s Fiber optical communication interfaces based on FlexRIO R Series Inhalt 1. Einführung... 2 2. Anforderungen... 2 3. Komponenten... 3 3.1. PXI 7952R... 3 3.2. Fiber Optical Interface Module

Mehr

Peter Nussbaumer, Markus A. Vogelsberger, Christian Santin, Thomas M. Wolbank

Peter Nussbaumer, Markus A. Vogelsberger, Christian Santin, Thomas M. Wolbank Entwicklung neuer sensorloser Regelungsstrategien für elektrische Antriebe unter Anwendung eines kombinierten Mess- und Regelungssystems von National Instruments Peter Nussbaumer, Markus A. Vogelsberger,

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

MATCHING VON PRODUKTDATEN IN DER CLOUD

MATCHING VON PRODUKTDATEN IN DER CLOUD MATCHING VON PRODUKTDATEN IN DER CLOUD Dr. Andreas Thor Universität Leipzig 15.12.2011 Web Data Integration Workshop 2011 Cloud Computing 2 Cloud computing is using the internet to access someone else's

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

High Performance Datenerfassung Tests am Beispiel WEGA

High Performance Datenerfassung Tests am Beispiel WEGA High Performance Datenerfassung am Beispiel WEGA Langmuir 5. Mai 2010 High Performance Datenerfassung am Beispiel WEGA Hardware Timing Netzwerk Hardware zwei identische Systeme bestehend aus Controller

Mehr

Microcontroller Architectures and Examples

Microcontroller Architectures and Examples Microcontroller Architectures and Examples Thomas Basmer telefon: 0335 5625 334 fax: 0335 5625 671 e-mail: basmer [ at ] ihp-microelectronics.com web: Outline Microcontroller in general Introduction Main

Mehr

Master Simulator für Real- Time Ethernet und Feldbus Systeme

Master Simulator für Real- Time Ethernet und Feldbus Systeme Master Simulator für Real- Time Ethernet und Feldbus Systeme Thomas Grebenz 24. September 2014 Seite 1 NetTechnix E&P GmbH Kernkompetenz Embedded Engineering Hardware und Software Lösungen mit Schwerpunkt

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Advanced Motion Control Techniques

Advanced Motion Control Techniques Advanced Motion Control Techniques Dipl. Ing. Jan Braun maxon motor ag Switzerland Dipl. Ing. Alexander Rudolph National Instruments Switzerland Agenda Schematiascher Aufbau Positionsregelkreis Übersicht

Mehr

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext

Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Entwurf eines FPGA-Cores zur Simulationsbeschleunigung zeitkontinuierlicher Modelle im HiL Kontext Till Fischer 03.11.2011 FZI Forschungszentrum Informatik Embedded Systems & Sensors Engineering (ESS)

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Copyright 2014 Delta Software Technology GmbH. All Rights reserved.

Copyright 2014 Delta Software Technology GmbH. All Rights reserved. Karlsruhe, 21. Mai 2014 Softwareentwicklung - Modellgetrieben und trotzdem agil Daniela Schilling Delta Software Technology GmbH The Perfect Way to Better Software Modellgetriebene Entwicklung Garant für

Mehr

Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen

Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen Die Integration zukünftiger In-Car Multimedia Systeme unter Verwendung von Virtualisierung und Multi-Core Plattformen 0. November 0 Sergio Vergata, Andreas Knirsch, Joachim Wietzke Echtzeit 0 Agenda Motivation

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

Comparing Software Factories and Software Product Lines

Comparing Software Factories and Software Product Lines Comparing Software Factories and Software Product Lines Martin Kleine kleine.martin@gmx.de Betreuer: Andreas Wuebbeke Agenda Motivation Zentrale Konzepte Software Produktlinien Software Factories Vergleich

Mehr

Verkürzung von Entwurfszeiten

Verkürzung von Entwurfszeiten Verkürzung von Entwurfszeiten durch Matlab-basiertes HPC R. Fink, S. Pawletta Übersicht aktuelle Situation im ingenieurtechnischen Bereich Multi-SCEs als Konzept zur Verkürzung von Entwurfszeiten Realisierung

Mehr

Präsentationsübersicht

Präsentationsübersicht Präsentationsübersicht 1. Projektauftrag 2. Anforderungen an CESIS 3. Beurteilungsansätze 4. Ergebnisse der Untersuchungen 5. Weiteres Vorgehen 6. Empfehlung 1. Projektauftrag Projektauftrag Zielsetzungen

Mehr

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Embedded Prozessoren vs. X86er Derivate DSP vs. FPGA vs. GPP wer ist geeigneter

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Integrationsmöglichkeiten von CompactPCI Serial. Zukunft und Chancen

Integrationsmöglichkeiten von CompactPCI Serial. Zukunft und Chancen Integrationsmöglichkeiten von CompactPCI Serial Zukunft und Chancen Embedded Computing Conference Winterthur 2011 Mr. Manuel Murer CompactPCI [Classic] CompactPCI wurde 1997 als PICMG 2.0 eingeführt Industrielle

Mehr

Smart Metering: Entwicklung eines intelligenten Stromzählers

Smart Metering: Entwicklung eines intelligenten Stromzählers Smart Metering: Entwicklung eines intelligenten Stromzählers Boppard, Echtzeit 2010 Steffen Mauch Dirk Benyoucef 19. Nov. 2010 DCSP-Lab Gliederung 1 Kontext 2 Arbeit 3 Ergebnisse / Bewertung 4 Zusammenfassung

Mehr

Funktionstest Ti2CA Compact

Funktionstest Ti2CA Compact Funktionstest Ti2CA Compact Systemarchitektur Die einfache Ansteuerung, hohe Ausbaustufen, bei geringem Kostenbedarf sowie die hohe Störsicherheit durch kurze Leitungslängen sind wesentliche Vorteile der

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

J.6 Programmierung eingebetteter Systeme

J.6 Programmierung eingebetteter Systeme Vorteile von C in eingebetteten Systemen: leichter Zugriff auf die Hardware gute Kontrolle über die verwendeten Ressourcen (Speicher, CPU) Probleme mit C: stark eingeschränkte Laufzeitüberprüfungen ISO

Mehr

Netzwerk Technologien in LabVIEW

Netzwerk Technologien in LabVIEW Netzwerk Technologien in LabVIEW von Dirk Wieprecht NI Germany Hier sind wir: Agenda Agenda Bedeutung des Ethernet für die Messtechnik Ethernet-basierende Technologien in LabVIEW Low Level- TCP/IP Objekt

Mehr

Untersuchung von halbleiterbasierten

Untersuchung von halbleiterbasierten Untersuchung von halbleiterbasierten Spinfilter Kaskaden Jan Jacob, Falk Ulrich Stein, Guido Meier und Ulrich Merkt I i fü A d Ph ik d Z fü Institut für Angewandte Physik und Zentrum für Mikrostrukturforschung

Mehr

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme R. Merker, Technische Universität Dresden, Fakultät ET und IT J. Kelber, Fachhochschule Schmalkalden, ET Gliederung

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Einführung Unsere erste Amtshandlung: Wir schrauben einen Rechner auf Grundlagen der Rechnerarchitektur Einführung 2 Vorlesungsinhalte Binäre Arithmetik MIPS Assembler

Mehr

Das Prinzip an einem alltäglichen Beispiel

Das Prinzip an einem alltäglichen Beispiel 3.2 Pipelining Ziel: Performanzsteigerung é Prinzip der Fließbandverarbeitung é Probleme bei Fließbandverarbeitung BB TI I 3.2/1 Das Prinzip an einem alltäglichen Beispiel é Sie kommen aus dem Urlaub und

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

Integriertes Condition Monitoring

Integriertes Condition Monitoring MF0014_V01 Integriertes Condition Monitoring DI Jutta Isopp Vorbeugende Instandhaltung? Vorbeugende Instandhaltung? Alarm ignoriert! Alarm ignoriert! Alarm ignoriert! Alarm ignoriert! Alarm ignoriert!

Mehr

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Symmetric Multiprocessing mit einer FPGA basierten MPSoC Plattform Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Inhalt Motivation Vorarbeiten Ziele für die Masterarbeit Vorgehensweise

Mehr

Nahtlose Mechatronik-Toolchain Von der Maschinensimulation bis zum Motorstromregler

Nahtlose Mechatronik-Toolchain Von der Maschinensimulation bis zum Motorstromregler Nahtlose Mechatronik-Toolchain Von der Maschinensimulation bis zum Motorstromregler Jochen Klier AE-Specialists Manager 11/8/2010 2 Agenda Tools für den mechatronischen Systementwurf Soft- und Hardware-Konzepte

Mehr

Studienvertiefungsrichtung Informationstechnik

Studienvertiefungsrichtung Informationstechnik Studienvertiefungsrichtung Informationstechnik Prof.Dr.-Ing. Ulrich Sauvagerd Lehrgebiet Informationstechnik Nov. 2006, Seite 1 www.etech.haw-hamburg.de/~sauvagerd Lehrgebiet Informationstechnik Nov. 2006,

Mehr

Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs

Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs Partitionierung von rechenintensiven Aufgaben zwischen FPGA und CPUs Embedded Computing Conference 2017 Tobias Welti, Dr. M. Rosenthal High Performance Embedded Platforms ZHAW Institute of Embedded Systems

Mehr

Eclipse Equinox als Basis für Smart Client Anwendungen. Christian Campo, compeople AG, 5.7.2007 Java Forum Stuttgart 2007

Eclipse Equinox als Basis für Smart Client Anwendungen. Christian Campo, compeople AG, 5.7.2007 Java Forum Stuttgart 2007 Eclipse Equinox als Basis für Smart Client Anwendungen Christian Campo, compeople AG, 5.7.2007 Java Forum Stuttgart 2007 Übersicht Definition / Architektur Smart Client Smart Client mit RCP / Equinox Gesamtfazit

Mehr

A Domain Specific Language for Project Execution Models

A Domain Specific Language for Project Execution Models A Domain Specific Language for Project Execution Models Eugen Wachtel, Marco Kuhrmann, Georg Kalus Institut für Informatik Software & Systems Engineering Inhalt Einführung und Hintergrund Problembereiche

Mehr

Messdatenerfassung: Messdaten und CAN-Botschaften synchron erfassen Nur einen USB-Anschluss entfernt!

Messdatenerfassung: Messdaten und CAN-Botschaften synchron erfassen Nur einen USB-Anschluss entfernt! Messdatenerfassung: Messdaten und CAN-Botschaften synchron erfassen Nur einen USB-Anschluss entfernt! Balazs Toth balazs.toth@ni.com Agenda Übersicht NI-XNET Plattform NI-XNET unter CompactDAQ NI-XNET

Mehr

Flexibles Echtzeit HF- Testsystem mit LabVIEW Realtime, FPGA-Technologie und TestMaster

Flexibles Echtzeit HF- Testsystem mit LabVIEW Realtime, FPGA-Technologie und TestMaster Flexibles Echtzeit HF- Testsystem mit LabVIEW Realtime, FPGA-Technologie und TestMaster Dr. Gerd Schmitz, S.E.A. Datentechnik GmbH Alexander Huber, Marquardt GmbH Gliederung Marquardt GmbH S.E.A. Datentechnik

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Hilscher Gesellschaft für Systemautomation mbh Intelligente Lösungen für die industrielle Kommunikation

Hilscher Gesellschaft für Systemautomation mbh Intelligente Lösungen für die industrielle Kommunikation Holger Pfrommer Gruppenleiter netanalyzer Hilscher Gesellschaft für Systemautomation mbh Intelligente Lösungen für die industrielle Kommunikation www.hilscher.com netscope für LabVIEW Intelligente Prozessdatenerfassung

Mehr

VarioTAP Einführung Hosea L. Busse

VarioTAP Einführung Hosea L. Busse VarioTAP Einführung Hosea L Busse GÖPEL electronic GmbH 2013 JTAG/Boundary Scan 1 Überblick Was ist VarioTAP? Prinzipielle Struktur eines µcontrollers VarioTAP Teststruktur VarioTAP Testkategorien VarioTAP

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

Aqcuisition Processing Distribution Exploit/View

Aqcuisition Processing Distribution Exploit/View Rendering und Bereitstellung massiver Geodaten unter Verwendung von OpenWebGlobe und MapCache in der Cloud Robert Wüest, Martin Christen, Benjamin Loesch Fachhochschule Nordwestschweiz Aqcuisition Processing

Mehr

Advanced Motion Control Techniques. Dipl. Ing. Jan Braun maxon motor ag Switzerland

Advanced Motion Control Techniques. Dipl. Ing. Jan Braun maxon motor ag Switzerland Advanced Motion Control Techniques Dipl. Ing. Jan Braun maxon motor ag Switzerland Agenda Schematiascher Aufbau Positionsregelkreis Übersicht Motion Control Systeme PC based mit CANopen für DC und EC Motoren

Mehr

Prinzipien und Komponenten eingebetteter Systeme

Prinzipien und Komponenten eingebetteter Systeme 1 Prinzipen und Komponenten Eingebetteter Systeme (PKES) (2) Mikrocontroller I Sebastian Zug Arbeitsgruppe: Embedded Smart Systems 2 Veranstaltungslandkarte Fehlertoleranz, Softwareentwicklung Mikrocontroller

Mehr

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware.

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware. Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA Implementierung eines -Stacks in Hardware Dresden, Gliederung 1. Aufgabenstellung 2. Überblick 1. Allgemein 2. MAC 3. IP

Mehr

NCDiff Testmanagement leicht gemacht

NCDiff Testmanagement leicht gemacht Testmanagement leicht gemacht Nagler & Company Thomas Gebhard & Anton Garra Complexity Die stetige Anpassung an neue Anforderungen der IT und das Bestreben Marktgegebenheiten zu folgen oder voraus zu sein,

Mehr

Heterogene Nutzung. Presse-Information. Haus der Wirtschaftsförderung

Heterogene Nutzung. Presse-Information. Haus der Wirtschaftsförderung Furtwangen, 13.03.2012 Abdruck honorarfrei, Belegexemplare erbeten 3750 Anschläge (ohne Headline und Bildunterschriften) am Dokumentende, druckfähige Bilddaten separat Haus der Wirtschaftsförderung Heterogene

Mehr

Performance Messungen von FreeRTOS und

Performance Messungen von FreeRTOS und Performance Messungen von FreeRTOS und µc/os-iii auf ARM-Architekturen Tim Wacher (wht4@bfh.ch) Master of Science in Engineering MRU Production Technology 16. August 2011/ CH-3400 Burgdorf Outline 1 Ziel

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

ABex eine universelle Prüfplattform für den Funktionstest, In Circuit Test und Halbleitertest

ABex eine universelle Prüfplattform für den Funktionstest, In Circuit Test und Halbleitertest ABex eine universelle Prüfplattform für den Funktionstest, In Circuit Test und Halbleitertest Matthias Vogel Konrad GmbH m.vogel@konrad technologies.de ATE Systeme Baugruppentest mit unterschiedlichen

Mehr

MapReduce und Datenbanken Thema 15: Strom bzw. Onlineverarbeitung mit MapReduce

MapReduce und Datenbanken Thema 15: Strom bzw. Onlineverarbeitung mit MapReduce MapReduce Jan Kristof Nidzwetzki MapReduce 1 / 17 Übersicht 1 Begriffe 2 Verschiedene Arbeiten 3 Ziele 4 DEDUCE: at the intersection of MapReduce and stream processing Beispiel 5 Beyond online aggregation:

Mehr

I/O Module TIOS Testsysteme. www.tronteq.de

I/O Module TIOS Testsysteme. www.tronteq.de www.tronteq.de I/O Module TIOS Testsysteme TRONTEQ I/O Module I/O Module Funktionsweise TRONTEQ Electronic entwickelt PC-basierte, flexible und robuste I/O Module für die Industrieelektronik und Nutzfahrzeugindustrie.

Mehr

Wo r k f l o ws i m C3- Gr i d Pr o j ek t

Wo r k f l o ws i m C3- Gr i d Pr o j ek t Wo r k f l o ws i m C3- Gr i d Pr o j ek t Analyse, Scheduling und Bearbeitung Christian Grimme 05.03.08 Gl i ed er u n g C3- Grid, ein Überblick Workflows im C3- Grid Beschreibung von Workflows Task-

Mehr

Konfigurationsanleitung Network Address Translation (NAT) Funkwerk. Seite - 1 - Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1.

Konfigurationsanleitung Network Address Translation (NAT) Funkwerk. Seite - 1 - Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1. Konfigurationsanleitung Network Address Translation (NAT) Funkwerk Copyright Stefan Dahler - www.neo-one.de 13. Oktober 2008 Version 1.1 Seite - 1 - 1. Konfiguration von Network Address Translation 1.1

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Neue Funktionen in Innovator 11 R5

Neue Funktionen in Innovator 11 R5 Neue Funktionen in Innovator 11 R5 Innovator for Enterprise Architects, Java Harvester und Prüfassistent 12.11.2013 Agenda 1 2 3 Einführung Was ist neu in Innovator 11 R5? Szenario Enterprise Architektur

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

LabTech RMM. Monitoring von MDaemon. Vertraulich nur für den internen Gebrauch

LabTech RMM. Monitoring von MDaemon. Vertraulich nur für den internen Gebrauch LabTech RMM Monitoring von MDaemon Vertraulich nur für den internen Gebrauch Inhaltsverzeichnis Monitoring von MDaemon 3 Schritt 1: Suche nach allen MDaemon-Maschinen 3 Schritt 2: Erstellen einer Gruppe

Mehr

Entwicklung eines Mac OS X Treibers für eine PCI-VME Interface Karte

Entwicklung eines Mac OS X Treibers für eine PCI-VME Interface Karte Entwicklung eines Mac OS X Treibers für eine PCI-VME Interface Karte Matthias Lange Informatikstudent, TU-Dresden 27. September 2005 http://www.matze-lange.de Warum entwickelt jemand einen Treiber für

Mehr

Boundary Scan Days 2009

Boundary Scan Days 2009 Boundary Scan Days 2009 Einsatz von Virtual JTAG (Altera) für Flash - & EEPROM - Programmierung Dammert Tobias & Knüppel Lars Nokia Siemens Networks GmbH & Co. KG Standort Bruchsal Test Engineering 1 Nokia

Mehr

SUMU. Smart Universell Measurement Unit für die intelligente und echtzeitfähige Netzqualitätsanalyse

SUMU. Smart Universell Measurement Unit für die intelligente und echtzeitfähige Netzqualitätsanalyse Smart Universell Measurement Unit für die intelligente und echtzeitfähige Netzqualitätsanalyse Steigende Anforderungen an die Versorgungsnetze erfordern neue Ansätze zur Überwachung und Messung systemrelevanter

Mehr

Programmieren ohne Programmierer Das GeneSEZ Generator Framework. Gerrit Beine gerrit.beine@sapat.de

Programmieren ohne Programmierer Das GeneSEZ Generator Framework. Gerrit Beine gerrit.beine@sapat.de Programmieren ohne Programmierer Das GeneSEZ Generator Framework Gerrit Beine gerrit.beine@sapat.de Vogelperspektive Theorie: Model driven software development Praxis: Konzepte von GeneSEZ Lösungen für

Mehr

Q.brixx A116. Mehrkanalmodul für Messbrücken. Die wichtigsten Fakten des Systems: Die wichtigsten Fakten des Moduls A106:

Q.brixx A116. Mehrkanalmodul für Messbrücken. Die wichtigsten Fakten des Systems: Die wichtigsten Fakten des Moduls A106: Die wichtigsten Fakten des Systems: Flexibilität bei hoher Packungsdichte bis zu 16 Module pro System in beliebiger Zusammenstellung Test Controller Q.station oder Q.gate wählbar Ethernet TCP/IP für Konfiguration

Mehr

Tag der Umweltmeteorologie 12.05.2015. Michael Kunz

Tag der Umweltmeteorologie 12.05.2015. Michael Kunz Tag der Umweltmeteorologie 12.05.2015 Michael Kunz Beschleunigung von Ausbreitungsmodellen durch Portierung auf Grafikkarten Einleitung Das GRAL/GRAMM-System Cuda-GRAL Ergebnisse Vergleich der Modellergebnisse

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

PRT- Wetter-Informations-System (WIV)

PRT- Wetter-Informations-System (WIV) Untere Viaduktgasse 6/7 A-1030 Wien PRT- Wetter-Informations-System (WIV) mit integrierter Videobildübertragung Bild 1: Beispiel einer WIV Oberfläche (Übersichtsbild) mit: BT: Bodentemperatur, LT: Lufttemperatur,

Mehr

Implementierung eines M2M Back-end Servers

Implementierung eines M2M Back-end Servers Implementierung eines M2M Back-end Servers Klaus-Dieter Walter SSV Embedded Systems Heisterbergallee 72 D-30453 Hannover www.ssv-embedded.de kdw@ist1.de 1 Wer ist SSV Embedded Systems? SSV Embedded Systems

Mehr

Workflow- basiertes Data Mining in der Bioinformatik

Workflow- basiertes Data Mining in der Bioinformatik 05/ 04/ 06 mine- IT: Workflow- basiertes Data Mining in der Bioinformatik Theoretical Bioinformatics Hintergrund Medizinische Bioinformatik: Forschungsbereich an der Schnitt stelle zwischen Molekularbiologie

Mehr

ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION

ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION ENTWICKLUNG EINER HARDWARE-UNTERSTÜTZTEN CMOS-BILDSENSOR BASIERTEN PRÄSENZDETEKTION ITG-Fachgruppentreffen, Dresden, 12. Oktober 2012 Jens Döge und Peter Reichel, Fraunhofer IIS/EAS Dresden Fraunhofer

Mehr

BraLUG-Cluster Idee, Konzept und Umsetzung. Uwe Berger; 2005 <bergeruw@gmx.net>

BraLUG-Cluster Idee, Konzept und Umsetzung. Uwe Berger; 2005 <bergeruw@gmx.net> Idee, Konzept und Umsetzung ; 2005 Inhalt Die Idee Das Konzept Die Umsetzung PVM Hard-/Software Bootkonzept Clientanmeldung... und jetzt? 01/2005 2 Die Idee eine aussergewöhnliche und

Mehr

Vereinfachte Ticketerfassung oxando GmbH

Vereinfachte Ticketerfassung oxando GmbH Vereinfachte Ticketerfassung oxando GmbH 2010 oxando GmbH, Vereinfachte Ticketerfassung 1 Ausgangssituation und Zielsetzung Ausgangssituation Das Anlegen eines Tickets ist oft nicht intuitiv und einfach

Mehr

Intel 80x86 symmetrische Multiprozessorsysteme. Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte

Intel 80x86 symmetrische Multiprozessorsysteme. Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte Intel 80x86 symmetrische Multiprozessorsysteme Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte Gliederung I. Parallel Computing Einführung II.SMP Grundlagen III.Speicherzugriff

Mehr

Excel beschleunigen mit dem mit Windows HPC Server 2008 R2

Excel beschleunigen mit dem mit Windows HPC Server 2008 R2 Excel beschleunigen mit dem mit Windows HPC Server 2008 R2 Steffen Krause Technical Evangelist Microsoft Deutschland GmbH http://blogs.technet.com/steffenk Haftungsausschluss Microsoft kann für die Richtigkeit

Mehr

Herausforderungen des Enterprise Endpoint Managements

Herausforderungen des Enterprise Endpoint Managements Herausforderungen des Enterprise Endpoint Managements PPPvorlage_sxUKMvo-05.00.potx santix AG Mies-van-der-Rohe-Straße 4 80807 München www.santix.de santix AG Herausforderungen 09.10.2013 Herausforderungen

Mehr

Die Entwicklungsumgebung. Labor Technische Informatik. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber

Die Entwicklungsumgebung. Labor Technische Informatik. Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber Die Entwicklungsumgebung Labor Technische Informatik Prof. Dr.-Ing. F. Kesel Dipl.-Ing. (FH) A. Reber 19.04.2011 Inhalt 1 Das Keil MCB1700 Board...2 2 Keil ARM MDK Toolchain...3 2.1 Projekterstellung...3

Mehr