Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Größe: px
Ab Seite anzeigen:

Download "Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -"

Transkript

1 Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

2 Implementation Synthesis Synthese für PLD-Architekturen Synthese-Schritte VHDL-Verhaltensbeschreibung High-Level Synthese Translate Bildet HDL-Beschreibungen einschließlich Randbedingungen (constraints) in Netzlisten auf RTL- Ebene ab. Kombiniert alle Netzlisten eines Designs zu einer einzelnen Netzliste, welche das Design und die Randbedingungen (constraints) beschreibt. Mapping Bildet die Logik durch Zerlegung auf Elemente der Zielarchitektur (z.b. CLBs, IOBs, etc.) ab. Place & Route Platziert die Elemente der Zielarchitektur und verbindet sie durch Routing. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 2 -

3 Synthese für PLD-Architekturen Verhaltens- und Post-Layout-Simulation VHDL-Verhaltensbeschreibung Simulator High-Level Synthese Konfiguration Verhalten Translate VHDL- Testbench Mapping User-Constraints (UCF) Konfiguration Struktur Place & Route Bitstream- Datei VHDL-Strukturbeschreibung Programmier- Tool Simulator Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 3 -

4 Synthese für PLD-Architekturen Verhaltens- und Post-Layout-Simulation Post-Layout Simulation benötigt eine sehr große Rechenzeit und dauert daher meist sehr lange. Bei synchronem Design und Kenntnis der Taktfrequenzen im Design berechnet die Synthese die Verzögerungszeiten aller Kombinatoriken. Damit kann überprüft werden, ob das synthetisierte Design die bei der Verhaltenssimulation getestete Funktionalität erfüllt. Auf eine Post-Layout-Simulation kann somit verzichtet werden. VHDL-Strukturbeschreibung Konfiguration Struktur Simulator VHDL- Testbench Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 4 -

5 Setup-Zeit Hold-Zeit Setup-Zeit Hold-Zeit Takt- Aufbereitung Eingangs- Logik Ausgangs- Logik Synthese für PLD-Architekturen Timing Modell bei synchronem Design I 1D C1 Kombinatorik 1D C1 O CLK interner Takt Eingangsverzögerung Interne Verzögerungen Ausgangsverzögerung Diese Verzögerungszeiten bestimmen die Systemfrequenz. Der Pfad mit der größten Verzögerung limitiert diese Frequenz. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 5 -

6 Synthese für PLD-Architekturen Timing Modell bei synchronem Design Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 6 -

7 Synthese für PLD-Architekturen Verhaltens-Simulation und Timing-Check VHDL-Verhaltensbeschreibung Simulator High-Level Synthese Konfiguration Verhalten Translate VHDL- Testbench Mapping Place & Route Bitstream- Datei User-Constraints (UCF) Post Place&Route Static Timing Programmier- Tool Bei synchronem Design: Überprüfen, ob alles gut ist. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 7 -

8 Synthese für PLD-Architekturen Einschränkungen synthetisierbare VHDL-Beschreibung Verzögerungszeiten werden ignoriert. Keine wait for... - Anweisungen in Prozessen. Keine Dateioperationen. assert -Anweisungen werden ignoriert. Keine Gleitkomma-Typen. Zeitmodelle (z.b. transport ) werden ignoriert. Keine globalen Variablen. Hinweise für synthetisierbaren VHDL-Code Bei Kombinatorik auf Ereignisse aller Eingänge warten, sinnvollerweise über Sensitivitätsliste. Jede Kombination der Eingänge ausprogrammieren und Ergebnis festlegen. Bei Speicherelementen auf alle Takte und asynchronen Signale warten, sinnvollerweise über Sensitivitätsliste. Taktflankenerkennung über Event -Attribut und Signalpegel nach der Flanke. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 8 -

9 XuLA2-LX25 Board XC6SLX25 FPGA (1,500,000-Gatter) 12 MHz Oszillator 32 MByte (16Mx16, Winbond W9825G6JH) 8 Mbit SPI Flash (Winbond W25Q80BV) MicroSD Steckplatz Prototyp-Interface: 32 I/O-Pins 1 Takt-Pin USB 2.0 Programmierinterface über PIC 18F14K50 Mikrocontroller OpenSource-Design Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 9 -

10 XuLA2-LX25 Board Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

11 XuLA2-LX25 Board, Sicht der Anwender Kann zur Konfiguration des FPGAs verwendet werden Große, persistenter Massenspeicher A9 Anschluss eigener Hardware Mikrocontroller liefert 12 MHz Takt an Pin A9 Großer, schneller Massenspeicher, aber flüchtig Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

12 XuLA2-LX25 Board, Konfiguration mit GXSLOAD So machen wir es im Praktikum. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

13 XuLA2-LX25 Board, Alternative Konfiguration über JTAG Zur Info, aber wir machen das nicht so im Praktikum JTAG-Adapter mit auxiliary JTAG header verbinden und Board programmieren JTAG-Port des Controllers deaktivieren Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

14 XuLA2-LX25 Board, Spannungsversorgung Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

15 XuLA2-LX25 Board, Belegung des Prototyp-Interfaces (mit Angabe der FPGA Pin-Bezeichungen) CHAN0 CHAN31 CHAN-CLK +5V, +1.2V, +3.3V, GND RST# ANALOG-IO0, ANALOG-IO1 Diese Pins sind direkt mit den I/O-Pins des FPGA verbunden. Diese Pins sind nicht 5V-tolerant. Dieser Pin ist direkt an einen globalen Takt-Pin des FPGAs ange-schlossen. Er kann auch als normaler I/O-Pin verwendet werden. Nicht 5V -tolerant. Diese Pins sind mit den Spannungsversorgungslagen des XuLA2-Borads wie vorher gezeigt verbunden. Dieser Pin ist mit dem Reset-Pin des Microcontrollers verbunden. Wird er zu 0 gezogen (GND), wird das XuLA2-Board neu rekonfiguriert. Diese Pins sind am A/D-Wandler des Mikrocontrollers angeschlossen und können vom FPGA nicht verwendet werden. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

16 XuLA2-LX25 Board, Überspannungsschutz an Eingängen Xilinx Spartan-6 FPGAs besitzen an ihren Eingängen zuschaltbare Schutzdioden, die jedoch standardmäßig ausgeschaltet sind. Um die Diode für einen Eingang zu aktivieren, kann IOSTANDARD = PCI66_3 in der UCF-Datei ausgewählt werden. Mit einem Längswiderstand (z.b. 100 ) zur Strombegrenzung können nun auch 5V Logiksignale angeschlossen werden. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

17 Spannungsversorgung und Programmierung über USB Erinnerung: Der vom Mikrocontroller bereitgestellte 12 MHz Takt FPGA_CLK ist an Pin A9 des FPGAs angeschlossen. LED 1k Signal Blinking_LED UCF-Datei: NET FPGA_CLK LOC=A9; NET FPGA_CLK TNM_NET = FPGA_CLK_GROUP; TIMESPEC TS_FPGA_CLK = PERIOD "FPGA_CLK_GROUP" 12 MHz HIGH 50%; NET Blinking_LED LOC=J14 IOSTANDARD = LVCMOS33 DRIVE=24 SLEW=SLOW ; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

18 UCF-Datei: NET FPGA_CLK LOC=A9; NET FPGA_CLK TNM_NET = FPGA_CLK_GROUP; TIMESPEC TS_FPGA_CLK = PERIOD "FPGA_CLK_GROUP" 12 MHz HIGH 50%; NET Blinking_LED LOC=J14 IOSTANDARD = LVCMOS33 DRIVE=24 SLEW=SLOW ; FPGA_CLK und Blinking_LED sind die Namen der Ein-/Ausganssignale des VHDL- Designs. Für diese Signale werden mit NET-Anweisungen Constraints definiert: LOC Pin-Zuordnung (Location) des Signals in der Hardware IOSTANDARD Elektrisches Verhalten des Pins DRIVE Stärke des Ausgangsstroms (Ausgänge) SLEW Frequenzverhalten eines Ausgangs (Ausgänge) TMN_NET Taktsignal für einen Taktbereich definieren Im Projekt wird der Taktbereich FPGA_CLK_GROUP mit dem Taktsignal FPGA_CLK durch das TNM_NET-Constraint spezifiziert. Für diesen Taktbereich wird mit der TIMESPEC-Anweisung die Timing-Spezifikation TS_FPGA_CLK passend zum angeschlossenen Takt erstellt. Nun kann die Synthese in diesem Taktbereich alle kombinatorischen Laufzeiten zwischen den FlipFlops des Taktbereichs ermitteln. (Weitere Infos siehe Constraints Guide UG625, Xilinx Inc.) Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

19 Struktur der VHDL- Top-Level -Beschreibung 12 MHz Takt A9 UCF Top-Level entity Top level architecture FPGA_Clk Blinking_LED J14 LED: soll mit 10 Hz blinken Entity des Projekts (Signale müssen zur UCF-Datei passen): library ieee;use ieee.std_logic_1164.all; entity XuLA2_Blinking_LED is generic ( -- Parametrisierung FPGA_CLK_Frequency : natural := 12_000_000; -- Hz LED_Frequency : natural := Hz ); port ( -- Signal-Interface FPGA_CLK : in std_ulogic; Blinking_LED : out std_ulogic ); end XuLA2_Blinking_LED; 1k Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

20 Realisierung der Funktion durch einen ladbaren Zähler LED_Count_unsigned LED_Count_Bits FPGA_Clk C1/- 1LD TC Blinking_LED VHDL-Beschreibung des Zählers beispielsweise durch einen Prozess process (FPGA_CLK) variable v: unsigned(led_count_bits-1 downto 0) := LED_Count_unsigned; variable led: std_ulogic := '0'; begin if rising_edge(fpga_clk) then if v=0 then v := LED_Count_unsigned; led := not led; else v := v-1; end if; Hardwarekonstanten Blinking_LED <= led; LED_Count_Bits und end if; LED_Count_unsigned end process; müssen ermittelt werden. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

21 Automatische Konfiguration des Zählers Vorgaben entity XuLA2_Blinking_LED is generic ( -- Parametrisierung FPGA_CLK_Frequency : natural := 12_000_000; -- Hz LED_Frequency : natural := Hz );... end XuLA2_Blinking_LED; Bereitstellung benötiger Hardparameter als Konstante constant LED_Count : natural := FPGA_CLK_Frequency/LED_Frequency; constant LED_Count_Bits : natural:= Anzahl_Bits(LED_Count-1); constant LED_Count_unsigned : unsigned(led_count_bits-1 downto 0) := to_unsigned(led_count-1,led_count_bits); Die Funktion Anzahl_Bits wurde in Abschnitt 3 vorgestellt. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

22 Architektur des Projekts (Zusammenfassung der vorhergehenden Folien): library ieee; use ieee.numeric_std.all; architecture arch of XuLA2_Blinking_LED is function Anzahl_Bits(v: natural) return natural is... (siehe Vorlesungsfolien, Abschnitt 3) end Anzahl_Bits; -- Berechnung benoetiger Hardwarekonstanten constant LED_Count : natural := FPGA_CLK_Frequency/LED_Frequency; constant LED_Count_Bits : natural := Anzahl_Bits(LED_Count-1); constant LED_Count_unsigned : unsigned(led_count_bits-1 downto 0) := to_unsigned(led_count-1,led_count_bits); begin process (FPGA_CLK) variable v: variable led: std_ulogic := '0'; begin if rising_edge(fpga_clk) then if v=0 then v := LED_Count_unsigned; led := not led; else v := v-1; end if; Blinking_LED <= led; end if; end process; end arch; unsigned(led_count_bits-1 downto 0) := LED_Count_unsigned; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

23 Testbench XuLA2_Blinking_LED_Testbench Takt- Generator FPGA_Clk XuLA2_Blinking_LED (Unit under Test, UUT) Blinking_LED Verifikation Was soll verifiziert werden? die Blinkfrequenz in Abhängigkeit der Taktfrequenz Welche Parameter sollen einstellbar gehalten werden? LED_Toggle_Frequency: LED-Umschaltfrequenz FPGA_CLK_Frequency: Taktfrequenz FPGA_CLK_Duty: 1-Phase des Taktes (prozentualer Anteil) FPGA_CLK_Offset: Startverzögerung des Taktes (zeitliche Dauer) FPGA_Clk Blinking_LED Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

24 Testbench: Code Übersicht 1 library ieee; use ieee.std_logic_1164.all; entity XuLA2_Blinking_LED_testbench is constant FPGA_CLK_Frequency : natural := 12_000_000; -- Hz constant FPGA_CLK_Duty : real := 45.0; -- Prozent High constant FPGA_CLK_Offset : time := 7 ns; constant LED_Toggle_Frequency : natural := 10; -- Hz end XuLA2_Blinking_LED_testbench; use work.txt_util.all; architecture test of XuLA2_Blinking_LED_testbench is -- UUT Komponentendeklaration component XuLA2_Blinking_LED is... (generic, port) end component; -- Hilfreiche Konstanten constant FPGA_CLK_Period : time := 1 sec / FPGA_CLK_Frequency; constant LED_Toggle_Period : time := 1 sec / LED_Toggle_Frequency; -- UUT Ein- und Ausgangssignale signal FPGA_CLK : std_ulogic; signal Blinking_LED : std_ulogic; begin... (Architektur-Body) end test; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

25 Testbench: Code Übersicht 2 library ieee; use ieee.std_logic_1164.all; entity XuLA2_Blinking_LED_testbench is... Definition aktueller Testparameter (siehe Übersicht 1) end XuLA2_Blinking_LED_testbench; use work.txt_util.all; architecture test of XuLA2_Blinking_LED_testbench is... Deklarationen und Definitionen (siehe Übersicht 1) begin -- "Unit Under Test" UUT: XuLA2_Blinking_LED generic map ( -- Parametervorgaben FPGA_CLK_Frequency => FPGA_CLK_Frequency, LED_Toggle_Frequency => LED_Toggle_Frequency ) port map ( FPGA_CLK => FPGA_CLK, Blinking_LED => Blinking_LED ); process -- Taktgenerator... FPGA_CLK erzeugen end process; process -- Überwachung der Zeitdauer zwischen zwei LED-Umschaltzeitpunkten... Blinking_LED überprüfen end process; end test; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

26 Testbench: Hilfspackage "txt_util" Die Testbench verwendet ein modifiziertes Package "txt_util", um bei assertund report-anweisungen auch Parameter auszugeben. Das Package enthält eine Vielzahl von Funktionen, von denen die Testbench jedoch nur die mehrfach überladene str-funktion verwendet. Die str-funktionen konvertieren Datenobjekte in string-objekte: function str(sl: std_logic) return string; function str(slv: std_logic_vector) return string; function str(slv: std_ulogic_vector) return string; function str(b: boolean) return string; function str(int: integer; base: integer) return string; function str(int: integer) return string; function str(rea: real) return string; function str(tim: time) return string; Beispiel: report "Blinking_LED=" & str(blinking_led) & " und FPGA_CLK=" & str(fpga_clk) severity Note; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

27 Testbench: Überwachung der Zeitdauer zwischen zwei LED-Umschaltzeitpunkten -- Überwachung der Zeitdauer zwischen zwei LED-Umschaltzeitpunkten -- (Toleranz: Eine Taktperiode des "FPGA_CLK") process variable this_time: time := 0 sec; begin wait on Blinking_LED; -- Erst mal Abwarten, beim Start gibt es manchmal unerwartete Ereignisse wait on Blinking_LED; -- Mit der zweiten Flanke Messung Starten this_time := now; for i in 0 to 100 loop report "Durchlauf i="&str(i) severity note; wait on Blinking_LED; assert abs((now-this_time) - LED_Toggle_Period) < (FPGA_CLK_Period) report "Bei i="&str(i)&" Zeitfehler: "&str((now-this_time)-led_toggle_period) severity error; this_time := now; end loop; report "Test done" severity note; wait; end process; Der Prozess speichert den Zeitpunkt der letzten Flanke in "this_time" und vergleicht diesen mit dem aktuellen Zeitpunkt "now". Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

28 Testbench: Erster Versuch eines Taktgenerators -- Erster Versuch eines Taktgenerators process constant FPGA_CLK_High_Time : time := (FPGA_CLK_Duty/100.0) * FPGA_CLK_Period; constant FPGA_CLK_Low_Time : time := FPGA_CLK_Period - FPGA_CLK_High_Time; begin FPGA_CLK <= '0'; wait for FPGA_CLK_Offset; l1: loop FPGA_CLK <= '1'; wait for FPGA_CLK_High_Time; FPGA_CLK <= '0'; wait for FPGA_CLK_Low_Time; end loop; end process; Problem, z.b. bei 12 MHz Für die Taktperiode ergibt sich ein Wert von 83 1/3 ns. Zeitwerte werden in VHDL jedoch auf eine eingestellte kleinste Zeiteinheit gerundet (z.b. Femtosekunden). Durch den Rundungsfehler erzeugt der gezeigte Taktgenerator bei 12 MHz keine Taktperioden, sondern eine leicht abweichende Anzahl. Da die LED-Umschaltzeitpunkte von der Anzahl der Taktzyklen abhängen, erfolgen die Umschaltungen zu leicht falschen Zeitpunkten. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

29 Testbench: Zweiter Versuch eines Taktgenerators -- Taktgenerator mit exakter Anzahl der Taktzyklen process constant FPGA_CLK_High_Time : time := (FPGA_CLK_Duty/100.0) * FPGA_CLK_Period; variable start_sec : time; variable low_time : time; begin FPGA_CLK <= '0'; wait for FPGA_CLK_Offset; l1: loop start_sec := now; one_sec: for tick in 1 to FPGA_CLK_Frequency loop FPGA_CLK <= '1'; wait for FPGA_CLK_High_Time; FPGA_CLK <= '0'; low_time := start_sec + 1 sec * (real(tick) / real(fpga_clk_frequency)) - now; wait for low_time; end loop; end loop; end process; Die Berechung jedes einzelnen Taktendes erfolgt nun aufgrund der Nummer tick des aktuellen Taktzyklus und mit real -Rechnung. Damit erhält man einen kleinen Unterschied zwischen den Periodenlängen der einzelnen Taktzyklen (Jitter), in einer Sekunde stimmt jedoch die Anzahl der durch die Frequenz vorgegebenen Taktzyklen. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

30 Testbench: Zweiter Taktgenerator als Prozedur... architecture test of XuLA2_Blinking_LED_testbench is... procedure Taktgenerator( constant CLK_Frequency : natural; constant CLK_Offset : time; constant CLK_Duty : real; signal CLK : out std_ulogic ) is constant CLK_Period : time := 1 sec / CLK_Frequency; constant CLK_High_Time : time := (CLK_Duty/100.0) * CLK_Period; variable start_sec, low_time : time; begin CLK <= '0'; wait for CLK_Offset; l1: loop start_sec := now; one_sec: for tick in 1 to CLK_Frequency loop CLK <= '1'; wait for CLK_High_Time; CLK <= '0'; low_time := start_sec + 1 sec * (real(tick) / real(clk_frequency)) - now; wait for low_time; end loop; end loop; end procedure;... begin... TG: Taktgenerator(FPGA_CLK_Frequency, FPGA_CLK_Offset, FPGA_CLK_Duty, FPGA_CLK);... end test; Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

31 Testbench: Simulation vcom -work work txt_util.vhd vcom -work work XuLA2_Blinking_LED.vhd vcom -work work XuLA2_Blinking_LED_Testbench.vhd vsim -novopt work.xula2_blinking_led_testbench add wave -divider "Ein-/Ausgangssignale" add wave /xula2_blinking_led_testbench/blinking_led add wave /xula2_blinking_led_testbench/fpga_clk add wave -divider "Interne Variable" add wave -unsigned /xula2_blinking_led_testbench/uut/line 38/v add wave /xula2_blinking_led_testbench/uut/line 38/led run 3 sec Konsole # ** Note: Durchlauf i=0 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=1 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=2 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=3 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=4 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=5 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=6 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=7 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=8 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench # ** Note: Durchlauf i=9 # Time: ps Iteration: 2 Instance: /xula2_blinking_led_testbench do-script wave-fenster Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

32 Synthese: Projekt aufsetzen, übersetzen, Zeitverhalten überprüfen Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

33 Synthese: ISE-Einstellungen für XuLA2-Board (siehe XuLA2 Manual) Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

34 Synthese: Hardwareaufbau Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

35 Synthese: FPGA Konfiguration drag'n drop Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

36 Synthese: Erstellen einer Programmierdatei für das SPI-Flash (1) Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

37 Synthese: Erstellen einer Programmierdatei für das SPI-Flash (2) Die Datei "XuLA2_Blinking_LED.mcs" wurde erzeugt Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

38 Synthese: Programmierung des SPI-Flash drag'n drop Beim Einschalten der Spannungsversorgung wird dann das FPGA aus dem SPI-Flash konfiguriert. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Test integrierter Schaltungen

Test integrierter Schaltungen 1. CAE Link und IC Qualifizierung 1.1 Ziel 1.1.1 CAE Link Bei der letzten Übung wurden die Zeitdefinitionen und die Test Pattern manuell eingegeben. Aber bei hochintegrierten Schaltkreise muß ein anderer

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Objektorientierte Programmierung für Anfänger am Beispiel PHP

Objektorientierte Programmierung für Anfänger am Beispiel PHP Objektorientierte Programmierung für Anfänger am Beispiel PHP Johannes Mittendorfer http://jmittendorfer.hostingsociety.com 19. August 2012 Abstract Dieses Dokument soll die Vorteile der objektorientierten

Mehr

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer

Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Fachdidaktik der Informatik 18.12.08 Jörg Depner, Kathrin Gaißer Klassendiagramme Ein Klassendiagramm dient in der objektorientierten Softwareentwicklung zur Darstellung von Klassen und den Beziehungen,

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Switching. Übung 7 Spanning Tree. 7.1 Szenario

Switching. Übung 7 Spanning Tree. 7.1 Szenario Übung 7 Spanning Tree 7.1 Szenario In der folgenden Übung konfigurieren Sie Spanning Tree. An jeweils einem Switch schließen Sie Ihre Rechner über Port 24 an. Beide Switche sind direkt über 2 Patchkabel

Mehr

5.12. Variable Temperaturgradienten über dem Scheibenzwischenraum

5.12. Variable Temperaturgradienten über dem Scheibenzwischenraum 5. Numerische Ergebnisse 92 5.12. Variable Temperaturgradienten über dem Scheibenzwischenraum Strukturbildungsprozesse spielen in der Natur eine außergewöhnliche Rolle. Man denke nur an meteorologische

Mehr

Kurzanleitung So geht s

Kurzanleitung So geht s Kurzanleitung So geht s MDT IP Interface SCN IP000.01 MDT IP Router SCN IP100.01 IP Interface IP Router einrichten Es wurden keine Einträge für das Inhaltsverzeichnis gefunden.falls Sie Basis Informationen

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Version 2.0.2 Deutsch 09.02.2015. In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren.

Version 2.0.2 Deutsch 09.02.2015. In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren. Version 2.0.2 Deutsch 09.02.2015 In diesem HOWTO wird beschrieben wie Sie Ihr vorhandenes PMS-System mit der IAC-BOX verbinden und konfigurieren. Inhaltsverzeichnis... 1 1. Hinweise... 2 2. Konfiguration...

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein.

Es sollte die MS-DOS Eingabeaufforderung starten. Geben Sie nun den Befehl javac ein. Schritt 1: Installation des Javacompilers JDK. Der erste Start mit Eclipse Bevor Sie den Java-Compiler installieren sollten Sie sich vergewissern, ob er eventuell schon installiert ist. Gehen sie wie folgt

Mehr

HTBVIEWER INBETRIEBNAHME

HTBVIEWER INBETRIEBNAHME HTBVIEWER INBETRIEBNAHME Vorbereitungen und Systemvoraussetzungen... 1 Systemvoraussetzungen... 1 Betriebssystem... 1 Vorbereitungen... 1 Installation und Inbetriebnahme... 1 Installation... 1 Assistenten

Mehr

ICS-Addin. Benutzerhandbuch. Version: 1.0

ICS-Addin. Benutzerhandbuch. Version: 1.0 ICS-Addin Benutzerhandbuch Version: 1.0 SecureGUARD GmbH, 2011 Inhalt: 1. Was ist ICS?... 3 2. ICS-Addin im Dashboard... 3 3. ICS einrichten... 4 4. ICS deaktivieren... 5 5. Adapter-Details am Server speichern...

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Technical Note 32. 2 ewon über DSL & VPN mit einander verbinden

Technical Note 32. 2 ewon über DSL & VPN mit einander verbinden Technical Note 32 2 ewon über DSL & VPN mit einander verbinden TN_032_2_eWON_über_VPN_verbinden_DSL Angaben ohne Gewähr Irrtümer und Änderungen vorbehalten. 1 1 Inhaltsverzeichnis 1 Inhaltsverzeichnis...

Mehr

Übungen 19.01.2012 Programmieren 1 Felix Rohrer. Übungen

Übungen 19.01.2012 Programmieren 1 Felix Rohrer. Übungen Übungen if / else / else if... 2... 2 Aufgabe 2:... 2 Aufgabe 3:... 2 Aufgabe 4:... 2 Aufgabe 5:... 2 Aufgabe 6:... 2 Aufgabe 7:... 3 Aufgabe 8:... 3 Aufgabe 9:... 3 Aufgabe 10:... 3 switch... 4... 4 Aufgabe

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

1 Einleitung. Lernziele. automatische Antworten bei Abwesenheit senden. Einstellungen für automatische Antworten Lerndauer. 4 Minuten.

1 Einleitung. Lernziele. automatische Antworten bei Abwesenheit senden. Einstellungen für automatische Antworten Lerndauer. 4 Minuten. 1 Einleitung Lernziele automatische Antworten bei Abwesenheit senden Einstellungen für automatische Antworten Lerndauer 4 Minuten Seite 1 von 18 2 Antworten bei Abwesenheit senden» Outlook kann während

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

icloud nicht neu, aber doch irgendwie anders

icloud nicht neu, aber doch irgendwie anders Kapitel 6 In diesem Kapitel zeigen wir Ihnen, welche Dienste die icloud beim Abgleich von Dateien und Informationen anbietet. Sie lernen icloud Drive kennen, den Fotostream, den icloud-schlüsselbund und

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

Stepperfocuser 2.0 mit Bootloader

Stepperfocuser 2.0 mit Bootloader Stepperfocuser 2.0 mit Bootloader Info Für den Stepperfocuser 2.0 gibt es einen Bootloader. Dieser ermöglicht es, die Firmware zu aktualisieren ohne dass man ein spezielles Programmiergerät benötigt. Die

Mehr

Objektorientierte Programmierung

Objektorientierte Programmierung Objektorientierte Programmierung 1 Geschichte Dahl, Nygaard: Simula 67 (Algol 60 + Objektorientierung) Kay et al.: Smalltalk (erste rein-objektorientierte Sprache) Object Pascal, Objective C, C++ (wiederum

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

LTSpice Tutorial 2: Eigene Modelle und Symbole

LTSpice Tutorial 2: Eigene Modelle und Symbole LTSpice Tutorial 2: Eigene Modelle und Symbole Vorhandenes Symbol, eigenes Modell, Modell in Einzeldatei Der Baustein «LM336» ist eine 2.5 V Zener-Spannungsreferenzdiode mit einem Justiereingang: Die Zenerdiode

Mehr

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress.

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Anmeldung http://www.ihredomain.de/wp-admin Dashboard Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Das Dashboard gibt Ihnen eine kurze Übersicht, z.b. Anzahl der Beiträge,

Mehr

Einführung in die Java- Programmierung

Einführung in die Java- Programmierung Einführung in die Java- Programmierung Dr. Volker Riediger Tassilo Horn riediger horn@uni-koblenz.de WiSe 2012/13 1 Wichtig... Mittags keine Pommes... Praktikum A 230 C 207 (Madeleine + Esma) F 112 F 113

Mehr

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes

Erste Schritte. Das Arduino-Board. Ihr Fundino-Board. Programmieren für Ingenieure Sommer 2015. Andreas Zeller, Universität des Saarlandes Erste Schritte Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Das Arduino-Board Ihr Fundino-Board Anschluss über USB Anschluss über USB Programmierumgebung Download

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

6.2 Scan-Konvertierung (Scan Conversion)

6.2 Scan-Konvertierung (Scan Conversion) 6.2 Scan-Konvertierung (Scan Conversion) Scan-Konvertierung ist die Rasterung von einfachen Objekten (Geraden, Kreisen, Kurven). Als Ausgabemedium dient meist der Bildschirm, der aus einem Pixelraster

Mehr

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet C++ Grundlagen ++ bedeutet Erweiterung zum Ansi C Standard Hier wird eine Funktion eingeleitet Aufbau: In dieser Datei stehen die Befehle, die gestartet werden, wenn das Programm gestartet wird Int main()

Mehr

AZK 1- Freistil. Der Dialog "Arbeitszeitkonten" Grundsätzliches zum Dialog "Arbeitszeitkonten"

AZK 1- Freistil. Der Dialog Arbeitszeitkonten Grundsätzliches zum Dialog Arbeitszeitkonten AZK 1- Freistil Nur bei Bedarf werden dafür gekennzeichnete Lohnbestandteile (Stundenzahl und Stundensatz) zwischen dem aktuellen Bruttolohnjournal und dem AZK ausgetauscht. Das Ansparen und das Auszahlen

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Java: Vererbung. Teil 3: super() www.informatikzentrale.de

Java: Vererbung. Teil 3: super() www.informatikzentrale.de Java: Vererbung Teil 3: super() Konstruktor und Vererbung Kindklasse ruft SELBSTSTÄNDIG und IMMER zuerst den Konstruktor der Elternklasse auf! Konstruktor und Vererbung Kindklasse ruft SELBSTSTÄNDIG und

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Konfiguration des ewon GSM Modems Kurzbeschreibung zum Aufbau einer GSM Verbindung

Konfiguration des ewon GSM Modems Kurzbeschreibung zum Aufbau einer GSM Verbindung ewon - Technical Note Nr. 004 Version 1.2 Konfiguration des ewon GSM Modems Kurzbeschreibung zum Aufbau einer GSM Verbindung 08.08.2006/SI Übersicht: 1. Thema 2. Benötigte Komponenten 3. Modemkonfiguration

Mehr

SJ OFFICE - Update 3.0

SJ OFFICE - Update 3.0 SJ OFFICE - Update 3.0 Das Update auf die vorherige Version 2.0 kostet netto Euro 75,00 für die erste Lizenz. Das Update für weitere Lizenzen kostet jeweils netto Euro 18,75 (25%). inkl. Programmsupport

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten.

Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten. ewon - Technical Note Nr. 001 Version 1.3 Umgang mit der Software ebuddy Ändern von IP Adresse, Firmware und erstellen von Backups von ewon Geräten. 19.10.2006/SI Übersicht: 1. Thema 2. Benötigte Komponenten

Mehr

Guide DynDNS und Portforwarding

Guide DynDNS und Portforwarding Guide DynDNS und Portforwarding Allgemein Um Geräte im lokalen Netzwerk von überall aus über das Internet erreichen zu können, kommt man um die Themen Dynamik DNS (kurz DynDNS) und Portweiterleitung(auch

Mehr

Anwendertreffen 20./21. Juni

Anwendertreffen 20./21. Juni Anwendertreffen Verbindungsmittelachsen VBA Allgemein Die Verbindungsmittelachsen werden nun langsam erwachsen. Nach zwei Jahren Einführungszeit haben wir bereits viele Rückmeldungen mit Ergänzungswünschen

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Übungen zur Softwaretechnik

Übungen zur Softwaretechnik Technische Universität München Fakultät für Informatik Lehrstuhl IV: Software & Systems Engineering Markus Pister, Dr. Bernhard Rumpe WS 2002/2003 Lösungsblatt 9 17. Dezember 2002 www4.in.tum.de/~rumpe/se

Mehr

Bedienungsanleitung TIME IT. a Look Solutions 1 product

Bedienungsanleitung TIME IT. a Look Solutions 1 product Bedienungsanleitung TIME IT a Look Solutions 1 product 2 Inhalt 1. Einleitung 3 2. Beschreibung der einzelnen Tasten 4 2.1 Tasten-/Displayfunktionen 3. Arbeiten mit dem TIME IT 6 3.1 Einsatz als Kabelfernbedienung

Mehr

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter.

Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Stundenverwaltung Mit dem Tool Stundenverwaltung von Hanno Kniebel erhalten Sie die Möglichkeit zur effizienten Verwaltung von Montagezeiten Ihrer Mitarbeiter. Dieses Programm zeichnet sich aus durch einfachste

Mehr

SharePoint Demonstration

SharePoint Demonstration SharePoint Demonstration Was zeigt die Demonstration? Diese Demonstration soll den modernen Zugriff auf Daten und Informationen veranschaulichen und zeigen welche Vorteile sich dadurch in der Zusammenarbeit

Mehr

11. Aufgabenblatt 30.06.2010

11. Aufgabenblatt 30.06.2010 Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 11. Aufgabenblatt 30.06.2010 Aufgabe 1: Einführung in MatLab/Simulink/Stateflow MatLab 1 ist ein Programm zum wissenschaftlichen,

Mehr

WinWerk. Prozess 4 Akonto. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon

WinWerk. Prozess 4 Akonto. KMU Ratgeber AG. Inhaltsverzeichnis. Im Ifang 16 8307 Effretikon Prozess 4 Akonto WinWerk 8307 Effretikon Telefon: 052-740 11 11 Telefax: 052 740 11 71 E-Mail info@kmuratgeber.ch Internet: www.winwerk.ch Inhaltsverzeichnis 1 Akonto... 2 1.1 Allgemein... 2 2 Akontobeträge

Mehr

Tutorial about how to use USBView.exe and Connection Optimization for VNWA.

Tutorial about how to use USBView.exe and Connection Optimization for VNWA. Tutorial about how to use USBView.exe and Connection Optimization for VNWA. Tutorial über den Gebrauch von USBView.exe und die Anschluss-Optimierung für den VNWA. Es wurde beobachtet, dass bestimmte VNWA

Mehr

Kapitalerhöhung - Verbuchung

Kapitalerhöhung - Verbuchung Kapitalerhöhung - Verbuchung Beschreibung Eine Kapitalerhöhung ist eine Erhöhung des Aktienkapitals einer Aktiengesellschaft durch Emission von en Aktien. Es gibt unterschiedliche Formen von Kapitalerhöhung.

Mehr

virtuos Leitfaden für die virtuelle Lehre

virtuos Leitfaden für die virtuelle Lehre virtuos Zentrum zur Unterstützung virtueller Lehre der Universität Osnabrück virtuos Leitfaden für die virtuelle Lehre Zentrum virtuos Tel: 0541-969-6501 Email: kursmanager@uni-osnabrueck.de URL: www.virtuos.uni-osnabrueck.de

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Um überhaupt produktiv arbeiten zu können, sind eine Reihe grundlegender Vorbereitungen notwendig:

Um überhaupt produktiv arbeiten zu können, sind eine Reihe grundlegender Vorbereitungen notwendig: Abgabe Dieses Übungsblatt ist bis Freitag, 02.05. um 12:00 per E-Mail an den eigenen Tutoren abzugeben. Bennennung beispielsweise $Matrikelnummer_Abgabe_$Blattnummer.$Format. 1 Erste Schritte mit dem Arduino

Mehr

Universal Dashboard auf ewon Alarmübersicht auf ewon eigener HTML Seite.

Universal Dashboard auf ewon Alarmübersicht auf ewon eigener HTML Seite. ewon - Technical Note Nr. 003 Version 1.2 Universal Dashboard auf ewon Alarmübersicht auf ewon eigener HTML Seite. Übersicht 1. Thema 2. Benötigte Komponenten 3. Downloaden der Seiten und aufspielen auf

Mehr

SEMINAR Modifikation für die Nutzung des Community Builders

SEMINAR Modifikation für die Nutzung des Community Builders 20.04.2010 SEMINAR Modifikation für die Nutzung des Community Builders Step by Step Anleitung ecktion SEMINAR Modifikation für die Nutzung des Community Builders Step by Step Anleitung Bevor Sie loslegen

Mehr

Programmierkurs Java

Programmierkurs Java Programmierkurs Java Dr. Dietrich Boles Aufgaben zu UE16-Rekursion (Stand 09.12.2011) Aufgabe 1: Implementieren Sie in Java ein Programm, das solange einzelne Zeichen vom Terminal einliest, bis ein #-Zeichen

Mehr

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word

Anleitung zum erstellen einer PDF-Datei aus Microsoft Word Anleitung zum erstellen einer PDF-Datei aus Microsoft Word 1. Vorbereitung des PCs Um dem Tutorial folgen zu können müssen folgende Programme auf Ihrem PC installiert sein: Ghostskript 8.64: Ghostskript

Mehr

Handbuch PCI Treiber-Installation

Handbuch PCI Treiber-Installation Handbuch PCI Treiber-Installation W&T Release 1.0, September 2003 09/2003 by Wiesemann & Theis GmbH Microsoft und Windows sind eingetragene Warenzeichen der Microsoft Corporation Irrtum und Änderung vorbehalten:

Mehr

Kapiteltests zum Leitprogramm Binäre Suchbäume

Kapiteltests zum Leitprogramm Binäre Suchbäume Kapiteltests zum Leitprogramm Binäre Suchbäume Björn Steffen Timur Erdag überarbeitet von Christina Class Binäre Suchbäume Kapiteltests für das ETH-Leitprogramm Adressaten und Institutionen Das Leitprogramm

Mehr

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Roboter programmieren mit NXC für Lego Mindstorms NXT 1. Auflage Roboter programmieren mit NXC für Lego Mindstorms NXT schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Verlag

Mehr

6 Zeichnungsableitung

6 Zeichnungsableitung 172 6 Zeichnungsableitung 6 Zeichnungsableitung 6.1 Überblick Zeichnungsableitung Solid Edge ist als reines 2D-Werkzeug zur Zeichnungserstellung nutzbar. Dies soll hier aber nicht vorgestellt werden. In

Mehr

Grundbegriffe der Informatik

Grundbegriffe der Informatik Grundbegriffe der Informatik Einheit 15: Reguläre Ausdrücke und rechtslineare Grammatiken Thomas Worsch Universität Karlsruhe, Fakultät für Informatik Wintersemester 2008/2009 1/25 Was kann man mit endlichen

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Installationsanleitung für das KKL bzw. AGV4000 Interface

Installationsanleitung für das KKL bzw. AGV4000 Interface Installationsanleitung für das KKL bzw. AGV4000 Interface Diese Anleitung ist unter Windows XP erstellt worden, ist aber auch übertragbar auf Windows 2000/ Vista / Windows 7. Je nach Einstellungen des

Mehr

So richten Sie Ihr Postfach im Mail-Programm Apple Mail ein:

So richten Sie Ihr Postfach im Mail-Programm Apple Mail ein: Seit der Version 3 von Apple Mail wird ein neuer E-Mail-Account automatisch über eine SSL-verschlüsselte Verbindung angelegt. Daher beschreibt die folgende Anleitung, wie Sie Ihr Postfach mit Apple Mail

Mehr

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können.

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Tutorial: Wie erfasse ich einen Termin? In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Neben den allgemeinen Angaben zu einem

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

1.4.12 Sin-Funktion vgl. Cos-Funktion

1.4.12 Sin-Funktion vgl. Cos-Funktion .4. Sgn-Funktion Informatik. Semester 36 36.4.2 Sin-Funktion vgl. Cos-Funktion Informatik. Semester 37 37 .4.3 Sqr-Funktion Informatik. Semester 38 38.4.4 Tan-Funktion Informatik. Semester 39 39 .5 Konstanten

Mehr

Robot Karol für Delphi

Robot Karol für Delphi Robot Karol für Delphi Reinhard Nitzsche, OSZ Handel I Version 0.1 vom 24. Januar 2003 Zusammenfassung Nach der Einführung in die (variablenfreie) Programmierung mit Robot Karol von Freiberger und Krško

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014)

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014) Handbuch NAFI Online-Spezial 1. Auflage (Stand: 24.09.2014) Copyright 2016 by NAFI GmbH Unerlaubte Vervielfältigungen sind untersagt! Inhaltsangabe Einleitung... 3 Kundenauswahl... 3 Kunde hinzufügen...

Mehr